集成电路版图设计十篇

时间:2023-04-04 07:23:43

集成电路版图设计

集成电路版图设计篇1

关键词:集成电路设计;版图;EDA

中图分类号:G642.0 文献标识码:A 文章编号:1007-0079(2014)36-0125-02

集成电路是当今信息技术产业高速发展的基础和源动力,已经高度渗透与融合到国民经济和社会发展的每个领域,其技术水平和发展规模已成为衡量一个国家产业竞争力和综合国力的重要标志之一[1],美国更将其视为未来20年从根本上改造制造业的四大技术领域之首。我国拥有全球最大、增长最快的集成电路市场,2013年规模达9166亿元,占全球市场份额的50%左右。近年来,国家大力发展集成电路,在上海浦东等地建立了集成电路产业基地,对于集成电路设计、制造、封装、测试等方面的专门技术人才需求巨大。为了适应产业需求,推进我国集成电路发展,许多高校开设了电子科学与技术专业,以培养集成电路方向的专业人才。集成电路版图设计是电路设计与集成电路工艺之间必不可少的环节。据相关统计,在从事集成电路设计工作的电子科学与技术专业的应届毕业生中,由于具有更多的电路知识储备,研究生的从业比例比本科生高出很多。而以集成电路版图为代表包括集成电路测试以及工艺等与集成电路设计相关的工作,相对而言对电路设计知识的要求低很多。因而集成电路版图设计岗位对本科生而言更具竞争力。在版图设计岗位工作若干年知识和经验的积累也将有利于从事集成电路设计工作。因此,版图设计工程师的培养也成为了上海电力学院电子科学与技术专业本科人才培养的重要方向和办学特色。本文根据上海电力学院电子科学与技术专业建设的目标,结合本校人才培养和专业建设目标,就集成电路版图设计理论和实验教学环节进行了探索和实践。

一、优化理论教学方法,丰富教学手段,突出课程特点

集成电路版图作为一门电子科学与技术专业重要的专业课程,教学内容与电子技术(模拟电路和数字电路)、半导体器件、集成电路设计基础等先修课程中的电路理论、器件基础和工艺原理等理论知识紧密联系,同时版图设计具有很强的实践特点。因此,必须从本专业学生的实际特点和整个专业课程布局出发,注重课程与其他课程承前启后,有机融合,摸索出一套实用有效的教学方法。在理论授课过程中从集成电路的设计流程入手,在CMOS集成电路和双极集成电路基本工艺进行概述的基础上,从版图基本单元到电路再到芯片循序渐进地讲授集成电路版图结构、设计原理和方法,做到与上游知识点的融会贯通。

集成电路的规模已发展到片上系统(SOC)阶段,教科书的更新速度远远落后于集成电路技术的发展速度。集成电路工艺线宽达到了纳米量级,对于集成电路版图设计在当前工艺条件下出现的新问题和新规则,通过查阅最新的文献资料,向学生介绍版图设计前沿技术与发展趋势,开拓学生视野,提升学习热情。在课堂教学中尽量减少冗长的公式和繁复的理论推导,将理论讲解和工程实践相结合,通过工程案例使学生了解版图设计是科学、技术和经验的有机结合。比如,在有关天线效应的教学过程中针对一款采用中芯国际(SMIC)0.18um 1p6m工艺的雷达信号处理SOC 芯片,结合跳线法和反偏二极管的天线效应消除方法,详细阐述版图设计中完全修正天线规则违例的关键步骤,极大地激发了学生的学习兴趣,收到了较好的教学效果。

集成电路版图起着承接电路设计和芯片实现的重要作用。通过版图设计,可以将立体的电路转化为二维的平面几何图形,再通过工艺加工转化为基于半导体硅材料的立体结构[2]。集成电路版图设计是集成电路流程中的重要环节,与集成电路工艺密切相关。为了让学生获得直观、准确和清楚的认识,制作了形象生动、图文并茂的多媒体教学课件,将集成电路典型的设计流程、双极和CMOS集成电路工艺流程、芯片内部结构、版图的层次等内容以图片、Flas、视频等形式进行展示。

版图包含了集成电路尺寸、各层拓扑定义等器件相关的物理信息数据[3]。掩膜上的图形决定着芯片上器件或连接物理层的尺寸。因此版图上的几何图形尺寸与芯片上物理层的尺寸直接相关。而集成电路制造厂家根据版图数据来制造掩膜,对于同种工艺各个foundry厂商所提供的版图设计规则各不相同[4]。教学实践中注意将先进的典型芯片版图设计实例引入课堂,例如举出台湾积体电路制造公司(TSMC)的45nm CMOS工艺的数模转换器的芯片版图实例,让学生从当今业界实际制造芯片的角度学习和掌握版图设计的规则,同时切实感受到模拟版图和数字版图设计的艺术。

二、利用业界主流EDA工具,构建基于完整版图设计流程的实验体系

集成电路版图设计实验采用了Cadence公司的EDA工具进行版图设计。Cadence的EDA产品涵盖了电子设计的整个流程,包括系统级设计、功能验证、集成电路(IC)综合及布局布线、物理验证、PCB设计和硬件仿真建模模拟、混合信号及射频IC设计、全定制IC设计等。全球知名半导体与电子系统公司如AMD、NEC、三星、飞利浦均将Cadence软件作为其全球设计的标准。将业界主流的EDA设计软件引入实验教学环节,有利于学生毕业后很快适应岗位,尽快进入角色。

专业实验室配备了多台高性能Sun服务器、工作站以及60台供学生实验用的PC机。服务器中安装的Cadence 工具主要包括:Verilog HDL的仿真工具Verilog-X、电路图设计工具Composer、电路模拟工具Analog Artist、版图设计工具Virtuoso Layout Editing、版图验证工具Dracula 和Diva、自动布局布线工具Preview和Silicon Ensemble。

Cadence软件是按照库(Library)、单元(Cell)、和视图(View)的层次实现对文件的管理。库、单元和视图三者之间的关系为库文件是一组单元的集合,包含着各个单元的不同视图。库文件包括技术库和设计库两种,设计库是针对用户设立,不同的用户可以有不同的设计库。而技术库是针对工艺设立,不同特征尺寸的工艺、不同的芯片制造商的技术库不同。为了让学生在掌握主流EDA工具使用的同时对版图设计流程有准确、深入的理解,安排针对无锡上华公司0.6um两层多晶硅两层金属(Double Poly Double Metal)混合信号CMOS工艺的一系列实验让学生掌握包括从电路图的建立、版图建立与编辑、电学规则检查(ERC),设计规则检查(DRC)、到电路图-版图一致性检查(LVS)的完整的版图设计流程[5]。通过完整的基于设计流程的版图实验使学生能较好地掌握电路设计工具Composer、版图设计工具Virtuoso Layout Editor以及版图验证工具Dracula和Diva的使用,同时对版图设计的关键步骤形成清晰的认识。

以下以CMOS与非门为例,介绍基于一个完整的数字版图设计流程的教学实例。

在CMOS与非门的版图设计中,首先要求学生建立设计库和技术库,在技术库中加载CSMC 0.6um的工艺的技术文件,将设计库与技术库进行关联。然后在设计库中用Composer中建立相应的电路原理图(schematic),进行ERC检查。再根据电路原理图用Virtuoso Layout Editor工具绘制对应的版图(layout)。版图绘制步骤依次为MOS晶体管的有源区、多晶硅栅极、MOS管源区和漏区的接触孔、P+注入、N阱、N阱接触、N+注入、衬底接触、金属连线、电源线、地线、输入及输出。基本的版图绘制完成之后,将输入、输出端口以及电源线和地线的名称标注于版图的适当位置处,再在Dracula工具中利用几何设计规则文件进行DRC验证。然后利用GDS版图数据与电路图网表进行版图与原理图一致性检查(LVS),修改其中的错误并按最小面积优化版图,最后版图全部通过检查,设计完成。图1和图2分别给出了CMOS与非门的原理图和版图。

三、结束语

集成电路版图设计教学是电子科学与技术专业和相关电类专业培养应用型集成电路人才的重要环节,使学生巩固了集成电路电路原理、工艺和器件等理论知识,掌握了集成电路版图设计流程、方法和主流的EDA版图工具的使用,提高了学生的工程实践能力,同时培养了学生分析问题、解决问题的能力。随着集成电路飞速发展到纳米工艺,版图相关的新技术和设计规则不断涌现。因此,在今后的教学改革工作中,与时俱进,围绕先进的实际设计案例将课堂教学和设计应用紧密结合,构建集成电路版图设计的教学和实践体系,具有重要的意义。

参考文献:

[1]毛剑波,汪涛,张天畅.微电子专业集成电路版图设计的教学研究[J].中国电力教育,2012,(23):52-53.

[2]陆学斌.集成电路版图设计[M].北京:北京大学出版社,2012.

[3]Dan Clein.CMOS集成电路版图――概念、方法与工具[M].北京:电子工业出版社,2006.

集成电路版图设计篇2

关键词:集成电路版图CAD;实践教学;课程实验;课程设计

Research on practice teaching mode of computer aided design of IC layout course

Shi Min, Zhang Zhenjuan, Huang Jing, Zhu Youhua, Zhang Wei

Nantong University, Nantong, 226019, China

Abstract: In this paper, the practice teaching mode of Computer Aided Design of IC layout course is discussed. According to one trunk line and two related course experiments mode, the experiment contents and methods were designed and implemented. Meanwhile, other efforts including emphasis of extracurricular scientific competition and reform of course practicum, were adopted to pay attention to the cultivation of comprehensive ability for students. The practice teaching mode proved that better teaching effect have been obtained.

Key words: Computer Aided Design of IC layout; practice teaching mode; course experiments; practicum

目前,高速发展的集成电路产业使IC设计人才炙手可热,而集成电路版图CAD技术是IC设计人才必须具备的重要技能之一。集成电路版图CAD课程是我校电子科学与技术专业和集成电路设计与集成系统专业重要的专业主干课,开设在大三第二学期,并列入我校第一批重点课程建设项目。本课程的实践教学是教学活动的重要组成部分,它是对理论教学的验证、补充和拓展,具有较强的直观性和操作性,旨在培养学生的实践动手能力、组织管理能力、创新能力和服务社会能力。结合几年来的教学实践,笔者从本课程实验、课程设计、课外科技竞赛等实践环节的设计工具、教学内容设计、教学方法和教学手段、师资队伍建设以及考核管理等方面进行总结。探讨本课程实践教学模式可加强学生应用理论知识解决实际问题的能力,提升就业竞争力,对他们成为IC设计人才具有十分重要的意义。

1 版图设计工具

集成电路CAD技术贯穿于集成电路整个产业链(设计、制造、封装和测试),集成电路版图设计环节同样离不开CAD工具支持。目前业内主流版图设计工具有Cadence公司的Virtuoso,Mentor Graphics公司的IC Flow,Springsoft公司的Laker_L3,Tanner Research公司的L_Edit和北京华大九天公司的Aether等。这些版图设计工具的使用流程大同小异,但在自动化程度、验证规模、验证速度等方面有所差异,在售价方面,国外版图设计工具贵得惊人,不过近年来这些公司相继推出大学销售计划,降低了版图设计工具的价格。高校选择哪种版图设计工具进行教学,则视条件而定。我校电子信息学院有2个省级实验教学示范中心和1个省部共建实验室,利用这些经费,我们购买了部分业内一流的EDA工具进行教学和科研。目前,我校版图设计工具有北京华大九天公司的Aether和Springsoft公司的Laker_L3。

2 两种相辅相成的实验教学模式

我校集成电路版图CAD课程共48学时(理论讲授24学时、实验24学时),实验环节是本课程教学的重要部分,在有限的实验教学时间内既要完成教学内容,又要培养学生创新能力,需要对实验教学模式进行改革和创新。本课程实验教学的目的与要求:与理论教学相衔接,熟练使用版图设计工具,学会基本元器件、基本数字门电路、基本模拟单元的版图设计,为本课程后续的课程设计环节做准备。紧紧围绕“一个规则(版图几何设计规则)、两个流程(版图编辑流程和验证流程)、四个问题”这条主线设计实验内容[1,2]。要解决的4个问题分别是:(1)版图设计前需要做哪些准备工作?(2)如何理解一个元器件(晶体管、电阻、电容、电感)的版图含义[3,4]?(3)如何修改版图中的几何设计规则检查错误?(4)如何修改版图和电路图一致性错误?表1为本课程实验内容、对应学时及对应知识点。笔者设计了两种相辅相成的实验教学模式:系统化实验教学模式和实例化实验教学模式。系统化实验教学从有系统的、完整的角度出发设计了实验教学内容,如设计实验3(数字基本门电路版图阅读)时,安排了5学时,采用3种版图阅读方式:读现有版图库中的单元电路版图、显微镜下读版图和读已解剖的芯片版图照片。针对同一内容,采用不同形式,彼此类比,加深印象,既有实物,又有动手操作,增强了直观性和感性认识。又如设计实验5(模拟单元MOS差分对管版图设计)时,安排了5学时,从器件匹配的重要性入手,给出MOS差分对管的电路图,讲解具体器件的形状、方向、连接对匹配的影响,特别是工艺过程引入器件的失配和误差,对MOS差分对管的3种版图分布形式(管子方向不对称形式、垂直对称水平栅极形式、垂直对称垂直栅极形式)进行逐一分析,指出支路电流大小对金属线的宽度要求,对较大尺寸的对管,采用“同心布局”结构。实例化实验教学先提出目标实例,围绕该实例,设计具体步骤,教师先示范,学生再模仿,如设计实验7(集成无源器件版图设计)时,由于集成电阻、电容和电感种类很多,不能面面俱到,要求只对多晶硅电阻、平板多晶硅电容和金属多匝螺旋形电感等常用元件进行版图分析和设计。课堂实验的内容和课时是有限的,为此我们设置了课外实验项目,感兴趣的学生选取一些实验项目自己完成,指导教师定期检查。学院开放了EDA实验中心(2007年该中心被遴选为省级实验教学示范中心建设点,2009年12月通过省级验收),学生对本课程很感兴趣,课外使用EDA实验室进行自主实验相当踊跃。通过上述的实验教学方法,特别是课外实验项目的训练,学生分析问题、解决问题的能力和科研素养得到了提高。

表1 课程实验内容、对应学时及对应知识点

表1(续)

4 基于0.6μmCMOS工艺的数字门电路版图设计 5 理解上华华润0.6 μm硅栅CMOS几何设计规则;学会CMOS反相器、传输门、与非、或非等基本门电路版图设计;DRC检查。

5 基于0.6 μmCMOS工艺的MOS差分对管版图设计 4 MOS差分对管版图设计,包括匹配原则、同心布局等,DRC检查。

6 版图电路图一致性检查 3 掌握LVS流程、LVS错误修改。

7 集成无源器件版图设计 3 多晶硅电阻、平板多晶硅电容和金属多匝螺旋形电感等常用元件版图设计。

3 改革课程设计环节

课程设计是本课程培养学生工程应用能力的综合性实践教学环节,时间2周,集中指导,提前1个月发给学生任务书和指导书,每个班配备2名指导教师,注重过程控制。笔者在教学内容、考核等方面进行了改革和创新:在教学内容设计上,给出了必做题和选做题,在选做题中要求每位学生完成数字电路版图1题和模拟电路版图1题,具体题目由抽签决定,做到1人1题,避免学生抄袭。考核成绩由课程设计成果(占50%)、小论文(占30%)、答辩(占20%)三方面综合给出。以往的课程设计报告改为撰写科技小论文,包括中英文题目、中英文摘要及关键词、引言、电路原理与分析、版图设计过程、分析与讨论、结束语和参考文献,让学生学习如何撰写科技论文。精选优质小论文放在本课程网上学习资料库里,供学生相互传阅和学习。课程设计答辩具体要求参照毕业设计(论文)答辩要求,包括准备PPT讲稿、讲解5分钟、指导教师点评等过程,每位学生至少需要10分钟时间。学生对课程设计答辩反映相当好,锻炼了语言组织和口头表达能力,而且相互间可以直接交流和学习。我们还挑选课程设计成绩优秀的学生参加校内集成电路版图设计大赛。虽然课程设计的改革和实践需要教师付出很多精力和时间,但我们无怨无悔,学生的认可和进步是我们最大的收获。

4 精心指导学生参加课外科技竞赛

目前我校学生参加的集成电路版图设计竞赛有校级版图设计大赛以及行业协会和企业组织的版图设计竞赛等。由校教务处主办,电子信息学院承办的南通大学版图设计大赛是校级三大电子设计竞赛之一,每年8月底举行,邀请集成电路设计公司一线设计人员和半导体协会专业人士担任评委,增加了竞赛的专业性和公正性,目前已经举办了6届,反响不错。从校级版图设计大赛获奖者中挑选一部分学生参加行业协会和企业组织的版图设计竞赛,如苏州半导体协会主办的集成电路版图设计技能竞赛、北京华大九天公司主办的“华大九天杯”集成电路设计大赛,其中“华大九天杯”集成电路设计大赛将挑选优秀获奖学生参加华润上华的免费流片,学生经历从电路设计、版图设计及验证、流片到测试各个环节,提高了综合训练能力。

5 加强师资队伍建设

要提高课程实践环节的教学质量,关键是指导教师要思想素质好,专业理论知识强,科研水平高,因此我们着力建立一支年龄结构、职称合理的实践教学队伍。目前很多年轻教师是从校园走向校园,毕业后直接上岗指导学习实践,缺少工程实践经历和经验。为了提高教师自身的业务水平,加强对年轻教师的培养,近十年来,我院每年暑假举行集成电路CAD技术实践培训班,由经验丰富的教学、科研一线教师主讲;不定期地邀请一流IC设计公司一线设计人员来院开设讲座;同时挑选年轻骨干教师到一流IC设计公司学习和实践,时间至少半年以上;现已聘请IC设计公司一线设计人员6人为兼职教师,指导课程设计和毕业设计。集成电路CAD技术日新月异,课程实践环节师资队伍建设必须与时俱进。

6 结束语

我校电子科学与技术专业、集成电路设计与集成系统专业2012年被评为省重点建设专业,也是江苏省首批培养卓越工程师的专业。集成电路版图设计是这两个专业卓越工程师培养计划的重要内容之一,总结和探讨集成电路版图CAD课程实践教学意义重大,今后我们要继续推进该课程实践环节的建设与改革,不断探索,为我国集成电路设计人才的培养而努力奋斗。

参考文献

[1] 施敏,孙玲,景为平.浅谈“集成电路版图CAD”课程建设[J].中国集成电路,2007(12):59-62.

[2] 施敏,徐晨.基于九天EDA系统的集成电路版图设计[J].南通工学院学报:自然科学版,2004,3(4):101-103.

集成电路版图设计篇3

一、集成电路布图设计的概念

集成电路的布图设计是指一种体现了集成电路中各种电子元件的配置方式的图形。集成 电路的设计过程通常分为两个部分:版图设计和工艺。所谓版图设计是将电子线路中的各个 元器件及其相互连线转化为一层或多层的平面图形,将这些多层图形按一定的顺序逐次排列 构成三维图形结构;这种图形结构即为布图设计。制造集成电路就是把这种图形结构通过特 定的工艺方法,“固化”在硅片之中,使之实现一定的电子功能。所以,集成电路是根据要实现的功能而设计的。不同的功能对应不同的布图设计。从这个意义上说,对布图设计的保护也就实现了对集成电路的保护。

集成电路作为一种工业产品,应当受到专利法的保护。但是,人们在实践中发现,由于集成电路本身的特性,大部分集成电路产品不能达到专利法所要求的创造性高度,所以得不到专利法的保护。于是,在一九七九年,美国众议院议员爱德华(Edward)首次提出了以著作权法来保护集成电路的议案。但由于依照著们法将禁止以任何方式复制他人作品,这样实施 反向工程也将成为非法,因此,这一议案在当时被议会否决。尽管如此,它对后来集成电路保护的立法仍然有着重要意义,因为它提出了以保护布图设计的方式来保护集成电路的思想;在这基础上,美国于1984年颁布了《半导体芯。片保护法》;世界知识产权组织曾多次召集专家会议和政府间外交会议研究集成电路保护问题,逐渐形成了以保护布图设计方式实现对集成电路保护的一致观点,终于在一九八九年缔结了《关于保护集成电路知识产权条约》。在此期间,其他一些国家颁布的集成电路保护法都采用了这一方式。

虽然世界各国的立法均通过保护布图设计来保护集成电路,但关于布图设计的名称却各不相同。美国在它的《半导体芯片保护法,)中称之为“掩模作品”(maskwork);在日本的《半导体集成电路布局法》中称之为“线路布局”(cir— cuitlayout);而欧共体及其成员国在其立法中称布图设计为“形貌结构”(topography);世界知识产权组织在《关于集成电路知识产权条约》中将其定名为布图设计。笔者以为,在这所有的名称中以“布图设计”一词为最佳。“掩模作品”一词取意于集成电路生产中的掩模。“掩模作品”一词已有过时落后之嫌,而“线路布局”一词又难免与电子线路中印刷线路版的布线、设计混淆。“形貌结构”一词原意为地貌、地形,并非电子学术语。相比之下,还是世界知识产权组织采用的“布图设计”一词较为妥当。它不仅避免了其他名词的缺陷,同时这一名词本身已在产业界及有关学术界广泛使用。《中国大百科全书》中亦有“布图设计”的专门词条‘

二、布图设计的特征

布图设计有着与其他客体相同的共性,同时也存在着自己所特有的个性。下面将分别加以论述。

1.集成电路布图设计具有无形性

无形性是各种知识产权客体的基本特性,,因此也是布图设计作为知识产权客体的必要条件。布图设计是集成电路中所有元器件的配置方式,这种“配置方式”本身是抽象的、无形的,它没有具体的形体,是以一种信息状态存在于世的,不象其他有形物体占据一定空间。

布图设计本身是无形的,但是当它附着在一定的载体上时,就可以为人所感知。前面提到布图设计在集成电路芯片中表现为一定的图形,这种图形是可见的。同样,在掩模版上布图设计也是以图形方式存在的。计算机辅助设计技术的发展,使得布图设计可以数据代码的方式存储在磁盘或磁带中。在计算机控制的离子注入机或者电子束曝光装置中,布图设计也是以一系列的代码方式存在。人们可通过一定方式感知这些代码信息。布图设计是无形的,但是其载体,如掩模版、磁带或磁盘等等却可以是有形的。

2.布图设计具有可复制性

通常,我们说著作权客体具有可复制性,布图设计同样也具有著作权客体的这一特征。当载体为掩模版时,布图设计以图形方式存在。这时,只需对全套掩模版加以翻拍,即可复制出全部的布图设计。当布图设计以磁盘或磁带为载体时,同样可以用通常的磁带或磁盘拷贝方法复制布图设计。当布图设计被“固化”到已制成的集成电路产品之中时,复制过程相对复杂一些。复制者首先需要去除集成电路的外封装;再去掉芯片表面的钝化层;然后采用不同的腐蚀液逐层剥蚀芯片,并随时拍下各层图形的照片,经过一定处理后便可获得这种集成电路的全部布图设计。这种从集成电路成品着手,利用特殊技术手段了解集成电路功能、设计特点,获得其布图设计的方法被称为“反向工程”。

在集成电路产业中,这种反向工程被世界各国的厂商广泛采用。集成电路作为现代信息工业的基础产品,已渗透到电子工业的各个领域,其通用性或兼容性对技术的发展有着非常重要的意义。因此,而反向工程为生产厂商了解其他厂商的产品状况提供了可能。如果实施反向工程不是单纯地为复制他人布图设计以便仿制他人产品,而是通过反向工程方法了解他人品功能、参数等特性,以便设计出与之兼容的其他电路产品,或者在别人设计的基础上加以改进,制造出更先进的集成电路,都应当认为是合理的。著作权法中有合理使用的规定,但这种反向工程的特许还不完全等同于合理使用。比如,合理使用一般只限于复制原作的一部分,而这里的反向工程则可能复制全套布图设计。改编权是著作权的权能之一,他人未经著作权人同意而擅自修改其作品的行为是侵权行为,但这里对原布图设计的改进则不应视为侵权。

集成电路版图设计篇4

关键词:单片机 液晶显示器 红外温度 MCS-51

中图分类号:TP391 文献标识码:A 文章编号:1672-3791(2012)05(a)-0030-01

1 系统方案设计

该系统仍采用MCS-51系列单片机AT89S51作为控制核心。温度检测采用MLX90614红外温度传感器;显示采用液晶显示模块1602,其体积小,集成度高,耗电量小。电路连线比较简单,并且制作产品体积小,便于控制和实现。整个系统具有极其灵活的可编程性,能方便地对系统进行功能的扩张和更改性。

软件控制程序主要有主控程序、红外温度采集程序、延时子程序、液晶显示子程序、按键子程序等组成。主控程序中对整个程序进行控制,进行了初始化程序及计数器、还有键盘功能程序、以及显示程序等工作,红外温度采集程序是红外温度采集系统中比较重要的部分。而红外温度采集程序主要是MLX90614内部数据的转换以及信号的输出。

2 红外温度采集系统电路工作原理

该系统原理图可分为4个部分。分别是单片机最小系统外接模块、1602液晶显示电路模块、红外温度采集、电源电路模块和按键模块如图1所示。

各模块的工作原理。红外温度采集模块:主要作用是通过红外温度传感器MLX90614将采集到的温度转换为数字信号送单片机89s51进行处理。单片机控制模块:作用是通过编写相应的程序控制各个模块的工作,从而实现相应的功能。液晶显示模块:作用是将单片机处理后的温度采集信息在液晶显示屏上显示出来供我们观看。按键模块:作用是通过按键的按下给单片机一个动作信号从而控制数据采集的开始与结束。电源模块:电源采用适用比较广泛的7805构成一稳压源,从而为各个模块提供相应的工作电压。

3 电路板的绘制

电路板的绘制步骤有以下几点。

(1)电路原理图的设计:电路原理图的设计主要是Protel99se的原理图设计系统来绘制电路原理图。在这一过程中,要充分的利用Protel99se所提供的各种原理图绘图工具、各种编辑功能,来实现设计目的。

(2)印制电路板的设计:印制电路板的设计主要是针对Protel99se的另一个重要的部分PCB而言的,在这个过程中,我们借助Protel99se提供的强大功能实现电路板的版面设计,完成高难度的工作。

4 ML90614发送与接收时序

ML90614时序如图2所示。MLX90614SMBus模式基本操作流程如下:

在进行SMBus设置是先将MLX90614转换到SMBus模式下,转换方法是在请求时间段Treq时间段内,强制将SCL置为低电平,从而完成PWM模式到SMBus模式的转换。

5 液晶显示模块1602

基本时序如前1602液晶显示模块所述;

读状态:输入:RS=L,RW=H,E=L输出:D0—D7=状态字

写指令:输入:RS=L,RW=L,D0—D7=指令码,E=高脉冲输出:无

读数据:输入:RS=H,RW=H,E=H输出:D0—D7=数据

写数据:输入:RS=H,RW=L,D0—D7=数据,E=高脉冲输出:无初始化设置?

6 设计流程图

按键子程序流程图如图3所示。

参考文献

[1] 张毅刚,彭喜元,姜守达,等.新编MCS51单片应用设计(第3版)[J],2008,4.

[2] 赵晶电路设计与制版—Protel99高级应用(第1版)[J],2000,11.

[3] 张义和,王敏男,许宏昌,等.例说51单片机(C语言版)(第1版)[J],2008,4.

[4] 杨成利,董蕴华.数字电子技术(第1版)[J],2005,6.

[5] 胡宴如,耿苏燕.模拟电子技术(第2版)[J],2004,2.

[6] .电子制作2007年合定版(第1版)[J],2008,1.

集成电路版图设计篇5

关键词:集成设计 选型 校验 系统模型

pivotal words: Integrated Design,Select and verify equipment type 、Constitute Power System model

一、引言:

在工程电气设计领域中,电力系统的设备选型计算、校验计算无疑是最复杂和最烦琐的一件工作。问题复杂性在于电力系统运行的可靠性要求,必须将所有设备:如高压、低压配电设备、变电、输电线缆等设备全部计算选型校验,要考虑各种运行状态下的设备安全可靠运行,短路时可靠动作。由于设备多、回路多、系统复杂、校验项目多,造成了工作烦琐。目前国内尚无模拟电气工程师思路进行自动选型、校验计算的软件,以代替部分工作,把电气工程师真正从烦琐的计算和绘图中解放出来。我公司最新科研成果------供配电系统集成设计软件正好填补了这一空白。

二、详述:

电气设计的目标

我们只有了解了电气设计最终实现目标才能进行更明确的工作,为了详细说明一个变配电所的所有电气内容,通常需要出的图纸有:

1.1 电气主接线图或高压系统图

1.2 低压系统图

1.3 平面布置图、剖面图

1.4 配电柜立面图

1.5 电缆清册

1.6 设备材料表

1.7 电气计算书

1.8 二次控制原理图

1.9 二次外部线路图

以上图纸中最复杂的图纸,工作量最大的莫过于高低压系统图,因为他们占用的计算工作量大。过去我们也提供一些计算工具软件,但大都是零散的,不系统的,比如负荷计算、电压损失计算、短路计算等,用户对整个系统的认识,一直停留在修改旧图,反复的计算-填写表格-替换设备-删除-复制等低级的劳动中,造成了劳动效率无法大幅度提高。而且由于缺乏整个供-配电系统结构的认识,往往上一级开关调整以后,没有改下一级开关,或上一级开关整定变了,没有跟着调整配线,造成许多前后不对照的错误图纸和问题工程。旧图中大量的图元各自独立并没有共性,所以难以大规模的一次性修改成功。旧图修改重复劳动特别多,反复的重复删除、复制、替换、文字、移动等命令,容易造成笔误。特别是当前工程设计周期被业主大幅度缩短,怎样提高设计、绘图效率就成为了一个关键性的问题。

绘图计算软件的现状

目前国内电气设计软件提供这部分的主要偏向于绘图功能。绘制高低压柜的一次方案,许多家厂商生产的软件都包含了这部分图库。我们绘图主要集中在插入相应的图块进行绘制,然后填写定货图表格。计算则是分开的。

也有个别软件对高低压系统提供了部分计算,但大都是零碎的,不是对系统整体的计算,或是对其中一个回路、某一种负荷类型(如电动机)进行计算,其他回路或负荷类型无法计算,也无法作到上下级配合选型,也没有全面的综合校验电气设备所有技术参数,没有用电需求表,和实际工程需要的设计过程相差太多等等。所以在设计变配电所过程中,大部分工作仍集中在修改旧图,重新计算,选型上。计算机的辅助设计功能没有什么提高。

电气设计的过程分析

选型统一规定

很多设计院在一个工程的协同设计过程中都采用了一种选型方案,比如高压配电柜选用KYN28,低压柜采用抽屉式MNS,主断路器采用CM1,电缆采用 VV 系列,等等,这个选型方案在同一工程中都是相同的。也可以应用到下一个工程中。

用电需求定义

水、暖、工艺等上行专业提供的用电需求,主要内容是用电设备的编号,设备名称,安装位置,额定电压,负荷等级,场所属性,负荷性质等对电气设计的要求。

现在随着计算机普及,很多设计院已经使用EXCEL互提资料。

负荷分配

确定配电设备(配电箱、盘、柜)的位置,把每一个负荷分配到配电设备上。

负荷计算

对每个配电设备进行负荷计算。主要采用需要系数法。

分配电中心计算选

分配电中心(如某层的配电间、竖井、或机房的配电间)的配电柜供给下联的配电盘或箱。对这些配电盘、箱、柜进行选型。

变配电中心计算选

变配电中心对分配电中心供电。对变配电中心的所有设备包括母线、高压电缆、高压柜、低压柜、低压抽屉组件、低压出线等进行选型。

短路计算

选型完成以后,查表得出各组件和线缆的阻抗,并设定短路点,计算每个短路点的三相和单相短路电流。

校验计算

对于高低压设备进行短路校验、电压损失校验、电机启动校验以及灵敏度校验等。校验不合适的值,要重新进行选型。直到校验通过。

绘制系统图

根据系统模型,绘制系统图。

排列柜子。

根据平面情况,布置柜子。并绘制立面图、剖面图。

根据柜子布置情况分别调整系统图抽屉柜位置和编号以及进线柜、母联柜位置

回路库和设备库符号库

高低压柜的一次方案是厂家样本提供的。在CAD绘图中要调用这些方案,必须将这些方案组织成一个回路库。每个回路都是由很多组件组成的。这些组件的电气属性(技术参数)则在设备库中定义。符号库是规定了这些组件对应的图例。以上三者在选型绘图过程中必不可少。

为了应对众多的厂家和不同的型号规格产品,我们符号库、设备库、回路库都是开放的。用户可以新增设备系列,新增回路方案等等。

符号库采用新国标图例。回路库和设备库也采用了最流行最先进的高低柜型号,特别是中国建筑标准所出的《统一技术措施电气设备选型卷》和电力出版社出的最新版《工厂常用电气设备手册》上下册以及上下册补充本。

回路库结构中每个回路都可以设定盘内组件的型号规格和数量或额定电流、控制电机功率,这样完全按照样本提供的内容录入,对选型提供了“电子样本”。

统一规定设定

在做某一工程前,由电气专业项目负责人确定的设备选型的基本方案。该基本方案中将所有电气设备划分为供电、输电、配电、用电几类,用户只须对以上设备进行初步选型,确定设备的系列号以及相关参数。其它参数都可以自动选型。

用电需求定义表

用电需求表是用户自行录入的工程中所用到的所有用电设备列表。用户需要录入用电设备的安装位置、名称编号,设备容量,负荷性质等内容。可以从EXCEL中将水暖工艺提来的资料导入该表中,也可以将输入好的用电需求表导出到EXCEL中编辑。安装位置提供了一个很好的管理所有设备的结构,非常直观方便。

系统模型的建立

本软件设计宗旨和最终目标就是要实现电气设计的目标。即绘制出符合要求的图纸。而绘制图纸前就必须建立供配电系统。此前的设计软件都没有提出过集成设计的概念。

4.1所谓集成设计,就是面向供配电系统整体的电气设计,他包括了统一规定初步选型,用电需求表定义,用电负荷的分配,负荷计算、选型计算、短路计算、校验计算等一系列综合复杂的设计过程。它可以建立供配电系统模型,并能详细的列出模型上每个供配电-输电-用电设备的工作(运行)属性、短路属性、电气属性。

任何一个供配电-输电-用电设备都有三种属性,工作属性、短路属性、电气属性。

工作属性是指当前选定的设备的工作电流、设备容量、工作电压、功率因数等情况。短路属性是指当前选定设备的短路阻抗、短路电流等情况。电气属性是该设备的出厂铭牌的电气型号规格和电气技术参数等。

集成设计的流程是:用电负荷被人工添加到配电柜上。然后进行负荷计算,并自动选择配电柜内元件型号规格,选定短路参数可以进行短路校验。如果短路校验不通过,重新进行选型计算。

4.2系统模型的建立:要想实现对变配电所设备的整体选型校验和设计,必须建立整个工程的配电系统模型,才能够实现对所有设备的选校。

一个好的系统模型首先比较直观,操作简单。上手快。组织严密。由于电气系统的树状结构和WINDOWS资源管理器的树状结构的相似性,我们完全可以利用WINDOWS资源管理器类似结构的树状系统来搭建一个模型,实现简单的配电系统。

电力系统中最常用的电气连接关系就是串联和并联。所有的复杂的网络最后都可以看成是电气设备串联和并联不断组合搭建成的。从下图中可以看出,树节点上从左到右的组件名称关系就组成一个串联的电路:低压配电室(电源)à电缆à负荷开关à变压器à母线à进线柜 ……..

从“3母线”节点下面所接的“3母线à抽屉柜2à抽屉柜3à抽屉柜4à抽屉柜5”是母线并联所连的若干个抽屉柜。

这样搭建成的系统模型,具有形象直观、搭建简单、组织严密等特点。完全可以实现变配电所系统设计的所有功能。附图1对应的供配电系统如附图2所示。

附图1

附图2

4.3系统模型的功能

立系统模型是从工程中的配电中心(配电间、配电室)建立。 统模型可以直观看到开关柜一次方案图形。以方便选型 统模型可以对用电需求进行统一分配。确定所有用电设备的电源位置 4、系统模型可以对每个设备都能进行负荷计算。统计总负荷

5、系统模型可以对电源进行全厂负荷统计,和无功补偿计算

6、系统模型可以进行短路计算。短路计算包括无限大容量系统和有源系统的短路计算。搭建的任何模型都可以自动进行计算。短路阻抗数据库可以扩充。

7、模型在负荷计算、短路计算、和初步选型方案基础上进行自动选型计算

8、系统模型选型计算后对参数进行校验计算,包括高低压设备、配电干线等所有设备都可以按照规范要求进行校验。

统模型可以直观的看到配电中心内配电系统上任何一个设备目前的工作电流,短路点短路电流以及设备技术参数情况。 10. 可以自动输出高低压系统图,主接线图,设备材料表,电缆清册,计算书,和抽屉柜排列图等一系列图纸。完成辅助设计全过程。

软件实现流程图

软件实现过程实际上就是对电气工程师设计过程的模拟和抽象。该流程深入体现了第三节所述的电气设计的全过程,模拟设计思路进行电气辅助设计。

常用设备选型校验方案(部分) 压器选型:负荷分配->负荷计算->选型 低压母线选型

负荷分配->负荷计算->按正常工作电流选型

效验内容如下:

电机启动压降计算 电压损失计算 3、过载保护效验

4、热稳定效验

电缆导线选型

负荷计算->按正常工作电流选型

1、效验电压损失:

2、效验经济电流密度:

3、效验热稳定

4、效验过载保护

低压开关选型

负荷计算->按照正常工作选型:1、选择壳架等级电流 2、选择脱扣器额定电流 3、根据回路保护设置要求,进行短延时,瞬时,长延时三个脱扣器额定电流的选型。

1、效验极限分断能力

2、效验开断电流

3、效验灵敏度

4、上下级配合效验

5、过载保护效验

高压开关选型

负荷计算->按正常工作电流选型 1、选择额定电流

效验开断电流或开断容量。 效验最高工作电压、效验动稳定、效验热稳定。

10、集成设计软件的优点

1.实现了真正意义上的供配电系统模型,是面向整体电力系统的电气设计软件。不同于以往零散的孤立模块,这样的好处是比较直观清楚的让电气工程师知道每个电气元件在电力系统中的位置,作用,运行状态和短路状态以及所有电气属性等。

i.进行负荷计算、短路计算、选型计算和校验计算。集四大计算于一体,更加清晰明了选型结果。

2.成设计便于负荷调整,回路替换,设备技术参数的修改。并提供一系列智能检测系统,保证前后上下级联关系正确,确保电气回路的参数的正确性。

集成设计便于输出管理电缆表,设备表。

集成设计提供了可扩充的回路库和设备库,完全仿照设备样本,全部开放。用户可增添新设备。

集成设计提供给用户最方便直接的查询功能,点击任何一个系统模型上设备元件,都可以看到该设备的电压,流过的电流,功率等运行情况。也可以看到在该点短路时的短路阻抗,短路电流情况,甚至可以查询其他点短路,在该点的短路电流情况。

集成设计的界面采用资源管理器式界面,只要会windows的人都可以建立一个系统模型。不需要另外增加学习时间。操作也是类似与资源管理器,极其容易上手。

集成设计提供了很多常用供配电设备的选型,校验计算方法。用户可以采用某种方法进行校验,也可以都采用,根据需要进行校验。非常灵活。

集成设计是面对电气设备的cad电气设计软件,不象以前那样需要一点点绘制图块,复制粘贴,电气工程师考虑的只有电气设计需要考虑内容,其他有关绘图的命令和操作和任何线条图元,一概不需要考虑。这才是真正意义上的电气设计专家系统。

集成设计完全参考最新版的电气规范、设计手册、统一技术措施和强制性条文以及最新版电气设备手册。紧跟时代步伐。

三、结论

变配电所的负荷计算、短路计算、选型、校验计算是电气设计中最复杂的内容之一。我们应用CAM/CAD软件辅助设计实现这一专家系统,是电气设计行业一次最初步的尝试,具有重要的历史意义和广阔的实用价值。意味着国内电气设计CAD将突破原来偏重于绘图,而轻辅助设计的趋向,向着更加智能化的电气设计专家系统迈出了可喜的一步。

参考书目:

《工业与民用配电设计手册》第二版,中国航空工业规划设计院等编水利电力出版社

《建筑电气设计实例图册》,北京照明学会设计委员会编中国建筑工业出版社

《工厂常用电气设备手册》兵器部第五设计院编中国电力出版社

《民用建筑电气设计手册》湖南电气情报网编中国建筑工业出版社

《低压配电设计规范》GB50054-95中国计划出版社

《供配电系统设计规范》GB50052-95中国计划出版社

集成电路版图设计篇6

【关键词】EDA技术;QuartusⅡ;电子设计;VHDL

1.引言

集成电路设计不断向超大规模、低功率、超高速方向发展,其核心技术是基于EDA技术的现代电子设计技术。EDA(Electronic Design Automation,电子设计自动化)技术,以集成电路设计为目标,以可编程逻辑器件(如CPLD、FPGA)为载体,以硬件描述语言(VHDL、VerilogHDL)为设计语言,以EDA软件工具为开发环境,利用强大计算机技术来辅助人们自动完成逻辑化和仿真测试,直到既定的电子产品的设计完成。其融合了,大规模集成电路制造技术、计算机技术、智能化技术,可以进行电子电路设计、仿真,PCB设计,CPLD/FPGA设计等。简言之,EDA技术可概括为在开发软件(本文用QuartusⅡ)环境里,用硬件描述语言对电路进行描述,然后经过编译、仿真、修改环节后,最终下载到设计载体(CPLD、FPGA)中,从而完成电路设计的新技术。

以EDA技术为核心的现代电子设计方法和传统的电子设计方法相比有很大的优点,两种设计方法的流程如下图:

图1 传统电子设计流程图

图2 基于EDA的现代电子设计流程图

比较两种设计方法,基于EDA技术的现在电子设计方法采用自上而下的设计方法,系统设计的早期便可进行逐层仿真和修改,借助计算机平台,降低了电路设计和测试的难度,极大程度地缩短了电子产品的设计周期、节约了电子产品的设计成本。DEA技术极大的促进了现代电子技术的发展,已成为现代电子技术的核心。

2.QuartusⅡ软件开发环境介绍

QuartusⅡ软件是Alter公司开发的综合性EDA工具软件,提供了强大的电子设计功能,充分发挥了FPGA、CPLD和结构化ASIC的效率和性能,包含自有的综合器及仿真器,支持原理图、VHDL、VerilogHDL等多种设计输入,把设计、布局布线和验证功能以及第三方EDA工具无缝的集成在一起。QuartusⅡ与Alter公司的上一代设计工具MAX+plusⅡ具有一定的相似性,和继承性。使熟悉MAX+plusⅡ开发环境的设计人员可以快速熟练应用。相比之下,QuartusⅡ软件功能更为强大、设计电路更为便捷,支持的器件更多。增强了自动化程度,缩短了编译时间,提升了调试效率。从而缩短了电子产品的设计周期。利用QuartusⅡ软件进行电子电路设计流程如图3所示。

图3 QuartusⅡ设计流程图

3.在QuartusⅡ环境下的EDA方法设计实例

下面本文在QuartusⅡ环境下,以下降沿D触发器的设计为例来说明基于EDA技术的现代电子设计方法(本文以QuartusⅡ9.0为例)。

3.1 在计算机上安装QuartusⅡ9.0版本软件

QuartusⅡ9.0对计算机硬件配置要求不高,现阶段的主流配置完全可以满足其要求。QuartusⅡ9.0安装过程很简单,按照提示操作即可。

3.2 D触发器功能分析

从D触发器真值表可以看出,当时钟信号clk不论是高电平还是低电平,其输出q的状态都保持不变,当时钟信号clk由高电平变为低电平时,输出信号q和输入信号d的状态相同。

表1 D触发器真值表

输入d 时钟clk 输出q

× 0 不变

× 1 不变

0 下降沿 0

1 下降沿 1

3.3 D触发器的VHDL描述设计

下面给出D触发器的VHDL描述:

library ieee;

use ieee.std_logic_1164.all;

entity dff1 is

port(d,clk:in std_logic;

q:out std_logic);

end dff1;

architecture bhv of dff1 is

begin

process(clk)

begin

if clk='1' then

q<=d;

end if;

end process;

end bhv;

上面程序在QuartusⅡ9.0环境下,经保存后进行编译,然后可进行波形仿真。

3.4 设计仿真

VHDL描述程序编译后,建立矢量波形文件,之后可以进行波形仿真,得到如下波形仿真图(如图4所示):

图4 D触发器仿真波形图

此仿真波形符合D触发器真值表,说明电路设计正确。如果波形仿真不符合真值表,说明电路设计有问题,此时可以回到3.3步骤修改VHDL描述程序,直至仿真结果正确为止。

波形仿真正确后,可得出相应的逻辑电路图,D触发器电路图(如图5所示)如下:

图5 D触发器逻辑电路图

3.5 配置下载测试

整个电路设计、编译仿真无误后,按照FPGA开发板说明书进行引脚锁定,重新进行编译后,然后通过下载电缆线,将产生的sof文件下载至FPGA中,对电路进行测试、验证,完成电路的最终设计。

4.结束语

本文以QuartusⅡ开发环境下的实际电路设计为例,介绍了基于EDA技术的现代电子设计方法。通过设计过程可知,DEA技术在现代电子电路设计中的重要性。在电子技术飞速发展的信息时代,EDA技术也在不断发展。电子产品设计者有必要熟练掌握硬件描述语言、可编程逻辑器件以及各种主流软件开发环境,这样才可以在最短的时间内完成高质量的电子产品设计任务。

参考文献

[1]阎石.数字电子技术基础[M].北京:高等教育出版社(第五版),2006.

[2]刘江海.EDA技术[M].武汉:华中科技大学出版社,2009.

集成电路版图设计篇7

>> 基于3G网络的便携式心电图仪 国产便携式血糖仪功能改进及低功耗设计 宽量程低功耗便携式血糖仪设计 基于MSP430的低功耗便携式脉搏测试仪 便携式电子产品低功耗电路的设计技巧和分析 便携式低功耗雷达导航仪智能测控系统 低功耗、便携式室内空气质量检测系统设计 简易心电图仪的设计 基于STM32的简易心电图仪设计 基于Android便携式血氧仪的设计 便携式数字化焊缝底片检测仪硬件结构设计 便携式设备用超低功耗编码解码器 Actel推出低功耗、低成本FPGA,为便携式应用提供更多选择 一种便携式电功率计的硬件设计 基于嵌入式系统便携式扫频仪的设计 便携式多生理参数检测仪设计 便携式甲醛测定仪设计与研究 便携式智能油气检测仪设计 基于MP430和UB的胎儿心电图仪的设计 一种基于单片机的简易心电图仪设计 常见问题解答 当前所在位置:

关键词:心电图仪;ADS1293;低功耗

DOI: 10.3969/j.issn.1005-5517.2013.6.016

随着现代社会的高速发展,人们生活水平不断提高的同时,生活节奏变快,生活压力也不断增大。随着人们心理加压的提高,身心负荷的长期超载,使得人类心脏疾病发生率也越来越高,成为威胁人们健康甚至生命的重要杀手之一。所以,人们对于心脏疾病越来越重视,常规心电图机较难在心脏疾病早期发现异常心电图。因而人们需要通过长期的心电监测来保证身体健康。同时,受到电池容量、电子器件等瓶颈的限制,低功耗、便携式心电图仪是解决长期心电监测的关键。兼顾以上特点,本文基于低功耗器件ADS1293、配合TI公司的低功耗单片机MSP430F5505,实现了低功耗便携式心电图仪硬件设计。

总体结构设计

人体心电信号非常微弱,其幅值一般为50μV~5mV,频率范围0.01Hz~250Hz。人体心电信号极易受到其它信号的干扰,如皮肤阻抗造成的极化电压的干扰,呼吸阻抗造成的基线的干扰,情绪及外界刺激引起的肌电干扰等等,其中工频干扰尤为明显。因此心电前端的模拟电路设计对于心电图仪采集系统尤为重要。

如图1所示,本设计通过ADS1293集成芯片的模拟电路对信号处理后,经过ADC采样,之后通过SPI传给MSP430F5505单片机,单片机对数据进行处理,将心率异常事件存储到MSP430F5505的FLASH中,回放事件时通过MSP430F5505自带的USB功能将心率异常事件传给PC机,在PC机上显示心率异常事件趋势图。

基于集成芯片ADS1293的心电前端电路设计

基于人体心电信号的特点,需要前端模拟采集电路有足够大的输入阻抗、足够高的共模抑制能力、较宽的电压动态范围。因此采用CMRR>100dB、输入电压动态范围达±400mV、内置EMI滤波器的ADS1293,既能达到前端模拟电路的设计要求,又可以简化电路减小仪器体积降低仪器功耗。ADS1293内部结构框图如图2所示,ADS1293可分为EMI滤波器、控制开关、仪表运放、Σ24位数据转换等部分。

在设计时,人体左右手电极RA、LA分别与ADS1293的IN2、IN1连接,左右腿电极LL、RL分别与ADS1293的IN3、IN4连接,人体胸导联电极V1与ADS1293的IN5连接,威尔逊中心端WCT与ADS1298的IN6连接。通过SPI控制ADS1293内部控制开关,可以实现I、II、V等3个心电通道的实际采集。根据导联计算公式,可以计算出III、aVR、aVF、aVL导联的数据。原理图如图3所示。

心电数据的采集处理与上传

本设计的控制单片机采用TI公司的MSP430系列低功耗单片机MSP430F5505,通过SPI接口从ADS1293中将3通道数据读出,对接收到的24位原始数据进行滤波处理,滤除由于人体呼吸、肌电、工频等原因产生的干扰信号,并对波形数据进行分析,将异常波形出现的时间作为事件存入到单片机的FLASH中,由于MSP430F5505单片机主频可达到24MHz,数据滤波不会对数据接收产生影响。

通过MSP430F5505单片机的USB功能将FLASH中存储的事件发送到PC机上,这样就可以在PC机上看到被测者心率异常事件的趋势图,被测者可根据趋势图来判断是否需要就医,达到简便快捷的发现早期心脏病变的目的,为心脏疾病的治疗争取时间。

结语

本设计采用低功耗心电前端集成芯片ADS1293采集心电信号与超低功耗单片机MSP430相结合,实现了一种超低功耗的动态心电图仪采集电路。由于ADS1293的高度集成,整个电路可以限制在2cm*2cm大小范围内。功耗可限制在5-10mA范围内,利用单节碱性电池可以实现2~3天的事件数据存储。方便实用。可以广泛应用到家庭、社区,有着广泛的应用前景。

参考文献:

[1] ADS1293 Datasheet[Z].Analog Devices Inc.

[2] 王艳艳,陈艳,吴正平.基于ADS1294便携式心电仪硬件设计[J].科技风,2012,(09):45

[3] ADS1294 Datasheet[Z].Analog Devices Inc.

集成电路版图设计篇8

关键词:微电子学;预实验;开放式实验

作者简介:梁海莲(1979-),女,江西高安人,江南大学物联网工程学院、信息与控制实验教学中心,讲师;赵琳娜(1979-),女,天津人,江南大学物联网工程学院、信息与控制实验教学中心,讲师。(江苏 无锡 214122)

基金项目:本文系江苏省研究生教育教学改革研究与实践课题(课题编号:YJG08_YB26)的研究成果。

中图分类号: G642.423 文献标识码:A 文章编号:1007-0079(2013)20-0092-02

随着社会的飞速发展,传统封闭式、单向传输的课程教学模式,已不能适应现代社会发展的需要。近年来,多数高校正积极开展面向高校、企业与科研中心一体化的“产学研”相结合的课程教学改革。[1]

作为电子信息产业核心技术之一的微电子技术,已经成为现代电子信息技术,是当前计算机和通讯技术发展的主要驱动力。[2]作为微电子学专业核心课程之一的“微电子专业实验”,所涉及的基础理论知识面较广,涵盖了“电路”、“模拟电子技术”、“数字电子技术”、“模拟集成电路”、“数字集成电路”、“半导体物理”、“半导体器件物理”、“电子设计CAD”、“集成电路封装、制造”等多门专业课程知识。鉴于该课程所需实验设备仪器种类较多、测控要求高、仪器价格昂贵、维护成本高等特点,且受人力、物力的限制,课程中不同实验所需配套设备购置数量较少。然而随着微电子产业对专业人才需求的不断增大,所需人才技能水平日益提高,高校在面向社会、面向未来,构建研究型综合大学的奋斗目标下,必须提高人才培养效率,改变传统教学模式,从根本上解决学生人数多、实验时间短、实践技能提升缓慢等现实问题,这是课程教学改革的关键。

基于上述“微电子专业实验”的课程特点与现实受限因素,迫切需要针对实验教学内容、实验教学方式和实验管理制度等方面进行改革与创新。这是因为实验教学在高校人才培养过程中起着非常重要的作用,是连接知识与实践、实践与创新,并使理论知识向实践能力转化的重要桥梁。[3]为践行研究型综合大学与时俱进的教学理念,[4]在“微电子专业实验”课程教学改革中,以提高学生的综合素质为目标,以学生为主体,在实验教学内容、实验过程、实验管理等方面进行了开放式微电子专业实验课程教学,并取得了良好的教学效果。

一、实验教学内容的设计与完善

针对该课程实验内容系统性强、理论知识抽象复杂的特点,为使学生在进入实验环节之前,对理论知识有一个形象、直接的感触体验,提高学生实验探索的兴趣,设计了一套相关实验所需理论知识的预实验系统。该系统结合电子设计CAD、专业仿真软件、动画演示等,将抽象复杂的专业理论知识形象化,有助于强化学生对理论知识的理解,较好地实现理论与实验相结合的过渡衔接。通过理论知识复习和预实验,既可有效促进学生对理论的理解,又能让学生在预实验中掌握下一步实验过程中的操作技巧,还能为学生获得新的理论知识打下良好的基础。

经初步尝试,整套预实验系统中的电路仿真软件Multisim、印制版电路仿真软件Protel、FPGA嵌入式系统设计、虚拟电子实验室Labview,以及电路系统功能仿真软件MATLAB、集成电路系统仿真软件HSPICE、Cadence电子设计软件及半导体器件仿真TCAD等软件,可实现微电子专业实验从单个器件向电路模块乃至整个电路系统,从前端系统功能设计向后端电路制作及电路性能验证的全功能预实验仿真。借助上述预实验系统,一方面可以加深学生对电路结构、原理的认识与理解;另一方面还能训练学生熟练地使用仪器,掌握正确的测量方法,提升学生的实验数据分析与鉴别能力,还有利于减少实验损伤,提高实验效率。

二、实验管理制度的设计与完善

虽然上述预实验在一定程度上有助于提高实验效率,但在提高学生动手能力、专业技能等方面尚有欠缺。为了进一步解决微电子专业实验仪器精密、贵重且量少,操控较为复杂、耗时费力等问题,仍需改革原有的实验管理制度,改变实验管理方法,提高仪器的使用效率。

针对微电子专业实验仪器精密、贵重、数量较为单一的特点,在购置相关仪器时,建立了专业对口教师采购、运行并维护的主负责管理制度,同时配备该仪器适用专业方向的研究生,经专业培训上岗,辅助指导实验学生正确操控、使用仪器。在新置仪器运行之前,要求厂家针对专业对口主负责教师和若干辅助测控的研究生进行系统运行、维护、管理培训,培训后主负责教师针对“微电子专业实验”课程的培养人数、课程日程、学分等情况,制定了学生实验分组、分时计划,并相应指定各实验小组的助教研究生,指导学生使用该仪器,协助管理实验仪器的运行、维护,并记载相应的实验运行状况、实验人员等。

同时,结合“微电子专业实验”课程系统性强的特点,通过相应的实验教学环节,培养学生独立完成半导体材料特性测试、微电子器件特性测试、微电子技术工艺参数测试和电路系统性能参数测试等,提升学生的综合测试技能和实验分析能力,巩固和强化现代微电子技术与集成电路制造技术的相关知识,并为学生进行理论知识创新提供了一个良好的实验平台和理论基础,综合锻炼了学生分析、探讨和总结实验结果的能力。

三、开放式微电子专业实验课程教改案例

以MOS集成运算放大器设计为例,制备工艺平台为0.6um CMOS工艺,2层多晶硅,5层金属连线,电路工作电压为3~5V。

首先,指定实验内容,两级CMOS集成运算放大器电路原理如图1所示,[5]其中M1~M4为有源负载的差分输入级,M5提供该级工作电流,M8、M9构成了共源放大电路,为输出级,M7为源跟随器,提供增益为1的缓冲器,以克服补偿电容的前馈效应,并消除零点,M6提供M7所需的工作电流,M10、M11组成运放偏置电路。电路性能与目标设计要求输出电压摆幅大于±3V,最大转换速率为30V/μs,补偿电容Cc为10pF。

其次,让参与实验的学生在电路仿真环境HSPICE中结合图1所示电路进行预实验,测试集成运算放大器在数学、物理理论模型下的理想实验参数,完成预实验,本预实验环节所需课时约3学时。在进入下一实验环节前递交实验预习报告,由学生在实验前联系专业机房的管理人员,自行安排课外时间完成。

再次,让学生在Cadence系统中使用Virtuoso软件完成CMOS集成运算放大器的版图设计,版图画完后需采用Design Rules Checker(DRC),按照电路设计规则检查设计的版图文件、运行和找出错误,并在相应版图位置中做出标记和解释。在检查完版图之后,还需进一步对Electrical Rules Checker(ERC)进行检查,以查找线路中的短路、开路和浮空结点,ERC检查到短路错误后将错误提示局限在最短的连接通路上。在修正上述版图、电路连接问题后,仍需使用Layout Versus Schematic(LVS)比较集成电路版图与其原理报告版图的连接是否一致,从而进行反复修改,直到版图和电路原理图达成一致。最终在完成集成运算放大器的版图验证与电路系统性能后仿的物理验证工作之后,方可与相关半导体代工厂联系,确定设计数据文件的大小、后端数据接口处的端口设计及其尺寸等,并交付半导体代工厂制备。本实验环节所需课时约6学时。

最后,将流片后的芯片在逻辑分析仪、混合信号测试仪、半导体参数分析仪等实验平台测试集成电路中器件的电学参数和集成运算放大器性能参数等,并结合预实验的仿真数据对比分析,进一步优化、改进版图,以提高集成运算放大器的综合性能,此实验环节约占3学时。由于本实验环节受仪器数量的限制,实验前需要先把已完成前两环节的实验学生分成2~3人一组,将半导体器件与集成电路测试和版图观测的实验平台安排在一个集成电路测试实验室,而将逻辑分析仪、混合信号测试仪等电路系统测试仪器等实验平台安排在另一个电子电学测试实验室,实现不同类别实验平台的相互独立,有助于不同实验室合理高效地实行开放式实验。当然不同实验平台均有指定能够熟悉操作的助教研究生协助,参与实验的学生能独立完成所需测试类型的实验。实验结束后,学生以书面形式阐述实验过程、分析测试数据、总结实验结果、完成实验报告。教师针对实验过程中出现的新现象、新问题,提出问题的查找方向,鼓励学生积极探索,查阅课外文献,提出具有独到见解的实验观点,为理论知识的创新、发展培养正确的科研方法。同时,也需要对全面开放的专业实验教学模式进行评价和提出建议。

四、结论

调查结果显示,学生对这种开放式微电子专业实验课程教学改革积极性较高,认真负责的配合教师、助教研究生完成实验任务。与传统单一、封闭教学模式相比,本课程教学改革在原实验管理员的积极支持下取得了良好的实验效果,也深受同学们欢迎,有助于提高同学们的学习兴趣和自我学习能力。

参考文献:

[1]刘瑞,伍登学,邬齐荣,等.创建培养微电子人才教学实验基地的探索与实践[J].实验室研究与探索,2004,(5):6-8,23.

[2]杨依忠,解光军,易茂祥,等.创建微电子专业实验室的探索与实践[J].实验技术与管理,2009,26(12):137-143.

[3]马瑶,石瑞英,袁菁,等.开放式专业实验教学模式探索和实践[J].高等教育发展研究,2008,25(1):42-45.

集成电路版图设计篇9

关键词:LNA;匹配;共源共栅;SiGe

中图分类号:TN722.3

低噪声放大器(LNA)已经广泛应用于GPS接收机、雷达、电子对抗、大地测绘、遥感遥控、微波通信以及各种高精度的微波测量系统,是射频微波电路和系统不可或缺的组成部分。

1 低噪声放大器电路设计

1.1 低噪声放大器电路原理图

本文利用TSMC 0.35um RF SiGe工艺库,在cadence软件上设计了3GHz窄带两级低噪声放大器。

低噪声放大器原理图如图1所示,Cin和Cout是隔直流电容,Cin,Lb和Le构成了输入级的匹配,而Cox相当于增加了Q1的Cπ,在设计中使得输入匹配有了更多的自由度,且对噪声系数影响很小。但是由于起到了反馈的作用,故对增益有所降低,因此在设计中需要对其值进行折中考虑。

图1 窄带两级低噪声放大器原理图

Q1和Q2,Q3和Q4分别构成共源共栅(cascode)结构,通过级联联系起来。

1.2 cascode结构

双极晶体管一般在低噪声放大器中有共射、共集和共基三种接法,每种接法各有优缺点。共基级放大器输入阻抗低,在很高的频率上,一般具有宽带电流放大能力且线性度好,而共射级放大器输出电阻与集电极的电阻有关[1]。综合考虑,本文中所采用的电路结构为共基和共射组成cascode结构一起使用。如图2所示。

2 结论

根据现实问题的应用需要,本文设计了一个S波段窄带低噪声放大器。针对具体指标性能的需求,文章首先分析了电路设计的一些问题,如主电路结构的选取、输入匹配、电路偏置等的设计,提出了用两级串联负反馈的cascode结构来设计该电路。

参考文献:

[1]康华光.电子技术基础模拟部分(第五版)[M].北京:高等教育出版社,2006-1:147-148.

[2]Ganguly,A.K,Webb,D.C.Microstrip Excitation of Magnetostatic Surface Waves:Theory and Experiment[J].IEEE Trans Microwave Theory Tech,1975,23(12):998-1006.

集成电路版图设计篇10

关键词应用型人才IC设计需求分析

随着我国IC产业的迅速发展,相应人才的需求量也日益增加。根据上海半导体和IC研讨会公布的数据,08年中国IC产业对设计工程师的需求将达到25万人,但目前国内人才数量短缺这个数字不止几十倍。例如我们熟知的威盛虽然号称IC设计人才大户,但相对于其在内地业务发展的需要还是捉襟见肘,其关联企业每年至少需要吸纳数百名IC设计人才,而目前培养规模无法满足。而在人才的需求中,应用型IC设计人才更加受到欢迎。

一、IC设计人才短缺

2008年,全国集成电路(IC)人才需求将达到25万人,按照目前IC人才的培养速度,今后10年,IC人才仍然还有20多万人的缺口。这是08年4月21日在沈阳师范大学软件学院举行的国家信息技术紧缺人才培养工程——CSIP-AMD集成电路专项培训开班仪式上了解到的。同样有数据表明,近日,从清华大学、电子科技大学、北京航空航天大学了解到,目前全国高校设有微电子专业总共只有10余个,每年从IC卡设计和微电子专业毕业的硕士生也只有二三百人。在国内大约仅有不足4000名设计师,而2008年,IC产业对IC设计工程师的需求量达到25万-30万人。有专家预测,到2008年底仅北京市IC及微电子产业就将超过2000亿元人民币,而到了2010年我国可能需要30万名IC卡设计师[1]。未来我国IC卡设计人才需求巨大。目前中国每年从IC设计和微电子专业毕业的高学历的硕士生只有数百人。中国现有400多所高校设置了计算机系,新近又特批了51所商业化运做的软件学院。但这些软件学院和计算机系培养的是程序员。中国目前只有十来所大学能够培养IC设计专业的学生。因此IC设计专业人才处于极其供不应求的状态。可以这样说,这是因为我国很大程度上是没有足够的IC设计人才。

专家指出,我国IC设计人员不足的一个重要的原因是IC设计是新兴学科,国内在此之前很少有大专院校开设IC设计专业,现在从事IC设计专业的人才,大部分是微电子、半导体或计算机、自动控制等相邻领域的理工专业毕业生,但是和实际的IC工作比起来,还是有差距,学校并不了解企业需要的是什么样的人才。所以,许多IC设计企业只能经常从应届毕业生中直接招聘人才再进行培训。此外,IC设计的实验环境要求,恐怕所有的高校都没有能力搭建。据了解,建一个供30人使用的IC实验室,光是购买硬件设备就需要15万美元。

最新研究指出:到2010年中国半导体市场将占世界总需求量的6%,位居全球第四。未来几年内中国芯片生产有望每年以42%的速度递增,这大大高于全球10%的平均增长速度。仅就IC卡一项来看,我国IC卡设计前景广阔。身份证IC卡的正式应用,将是十亿计的数量,百亿计的销售额,此外读卡机及其系统将有成倍的产值。半导体理事长俞忠钰说,2002年全国的IC设计单位已达到了240家,根据北京市发展微电子产业的建设规划,到2010年,北京市要逐步建成20条左右大规模高水平的芯片生产线,200家高水平的IC卡专业设计公司。据预测,北京市IC产业将超过2000亿元。巨大的商机也同时带来了市场对IC卡设计人才的巨大需求。

二、应用型IC设计技术人才需求日切

IC产业飞速发展,现在的焦点已经移到了IT产业的核心技术IC设计上。据北京半导体协会负责人董秀琴表示,IC卡设计工程师在软件行业是现在公认的高收入阶层。目前我国IC卡人才缺口巨大,在我国的高等教育里,这一块发展十分缓慢。按照中国现在的市场行情,一个刚毕业、没有任何工作经验的IC设计工程师的年薪最少也要在8万元左右。为什么会出现这样的情况呢?董秀琴讲,这是因为一方面是现有IC设计人才的严重缺乏;另一方面是国内外市场对IC卡设计人才尤其是合格的IC设计师的大量需求。

由此我们可以看出,对于应用型的设计人员来讲,是备受集成电路行业欢迎的。例如常见的EDA公司、IC设计服务公司、IC设计公司和IDM或Fundry4种类型的公司需要那些IC设计人才呢?他们需要的是熟悉IC设计的技术支持工程师,涵盖IC设计的所有方面,通常包括:系统设计、算法设计、数字IC前端逻辑设计与验证、FPGA设计、版图设计、数字IC后端物理设计、数字后端验证、库开发,甚至还有EDA软件的开发与测试,嵌入式软件开发等,其中对IC物理设计工程师的需求量会多一些[2]。

目前,需求量最大、人才缺口最大的主要有模拟设计工程师、数字设计工程师和版图设计工程师三类。另外,设计环节还需要工艺接口工程师、应用工程师、验证工程师等。IC版图设计师的主要职责是通过EDA设计工具,进行集成电路后端的版图设计和验证,最终产生送交供集成电路制造用的GDSII数据。版图设计师通常需要与数字设计工程师和模拟设计工程师随时沟通和合作才能完成工作。一个优秀的版图设计师,即要有电路的设计和理解能力,也要具备过硬的工艺知识。模拟设计工程师作为设计环节的关键人物,模拟设计工程师的工作是完成芯片的电路设计。由于各个设计企业所采用的设计平台有所不同,不同材料、产品对电路设计的要求也千差万别,模拟设计工程师最核心的技能是必须具备企业所需的电路设计知识和经验,并有丰富的模拟电路理论知识。同时还需指导版图设计工程师实现模拟电路的版图设计。

由此我们可以看出,在IC人才的需求中,应用型IC设计人才的需求更大,而且他们也是推动集成电路产业迅速发展的生力军。

三、以社会需求为导向,培养应用型IC设计人才

国家对IC卡设计人才培养也很重视。据北京半导体协会卓洪俊部长说,到2010年,全国IC产量要达到500亿块,销售额达到2000亿元左右,将近占世界市场份额的5%,满足国内市场50%的需求。同时,国务院颁布《鼓励软件产业和集成电路产业发展的若干政策》的18号文件,支持和鼓励软件和IC产业加速发展,加快IC设计人才培养。

IC人才需求问题的解决首先还是从高校开始,2001年,清华大学微电子研究所开设了“集成电路设计与制造技术专业”第二学士学位班,2001年的IC专业二学位班已经有64名学员在读。清华大学还分别与宏力半导体、有研硅、首钢合作培养IC人才。2002年,成都电子科大也开始招收“微电子技术专业”的二学位学员,同时扩招微电子专业的本科生。为了更好地实施学校加速IC人才培养的战略,电子科大还成立了微电子与固体电子学院,并建立了面积为1500平米的IC设计中心。同济大学开始实施IC人才培养规划,提出了“研究生、本科生、高职生”的多层次培养体系。

作为人才培养的摇篮,高校在这一方面应进一步加快改革,制定可行的、新的人才培养计划,以社会需求为导向,加强教学、实验和实训投入,多渠道、多方式地进行应用型IC设计人才的培养。

参考文献