集成电路设计十篇

时间:2023-03-23 16:29:38

集成电路设计

集成电路设计篇1

一、集成电路布图设计的概念

集成电路的布图设计是指一种体现了集成电路中各种电子元件的配置方式的图形。集成  电路的设计过程通常分为两个部分:版图设计和工艺。所谓版图设计是将电子线路中的各个  元器件及其相互连线转化为一层或多层的平面图形,将这些多层图形按一定的顺序逐次排列  构成三维图形结构;这种图形结构即为布图设计。制造集成电路就是把这种图形结构通过特  定的工艺方法,“固化”在硅片之中,使之实现一定的电子功能。所以,集成电路是根据要实现的功能而设计的。不同的功能对应不同的布图设计。从这个意义上说,对布图设计的保护也就实现了对集成电路的保护。

集成电路作为一种工业产品,应当受到专利法的保护。但是,人们在实践中发现,由于集成电路本身的特性,大部分集成电路产品不能达到专利法所要求的创造性高度,所以得不到专利法的保护。于是,在一九七九年,美国众议院议员爱德华(Edward)首次提出了以著作权法来保护集成电路的议案。但由于依照著们法将禁止以任何方式复制他人作品,这样实施  反向工程也将成为非法,因此,这一议案在当时被议会否决。尽管如此,它对后来集成电路保护的立法仍然有着重要意义,因为它提出了以保护布图设计的方式来保护集成电路的思想;在这基础上,美国于1984年颁布了《半导体芯。片保护法》;世界知识产权组织曾多次召集专家会议和政府间外交会议研究集成电路保护问题,逐渐形成了以保护布图设计方式实现对集成电路保护的一致观点,终于在一九八九年缔结了《关于保护集成电路知识产权条约》。在此期间,其他一些国家颁布的集成电路保护法都采用了这一方式。

虽然世界各国的立法均通过保护布图设计来保护集成电路,但关于布图设计的名称却各不相同。美国在它的《半导体芯片保护法,)中称之为“掩模作品”(maskwork);在日本的《半导体集成电路布局法》中称之为“线路布局”(cir— cuitlayout);而欧共体及其成员国在其立法中称布图设计为“形貌结构”(topography);世界知识产权组织在《关于集成电路知识产权条约》中将其定名为布图设计。笔者以为,在这所有的名称中以“布图设计”一词为最佳。“掩模作品”一词取意于集成电路生产中的掩模。“掩模作品”一词已有过时落后之嫌,而“线路布局”一词又难免与电子线路中印刷线路版的布线、设计混淆。“形貌结构”一词原意为地貌、地形,并非电子学术语。相比之下,还是世界知识产权组织采用的“布图设计”一词较为妥当。它不仅避免了其他名词的缺陷,同时这一名词本身已在产业界及有关学术界广泛使用。《中国大百科全书》中亦有“布图设计”的专门词条‘

二、布图设计的特征

布图设计有着与其他客体相同的共性,同时也存在着自己所特有的个性。下面将分别加以论述。

1.集成电路布图设计具有无形性

无形性是各种知识产权客体的基本特性,,因此也是布图设计作为知识产权客体的必要条件。布图设计是集成电路中所有元器件的配置方式,这种“配置方式”本身是抽象的、无形的,它没有具体的形体,是以一种信息状态存在于世的,不象其他有形物体占据一定空间。

布图设计本身是无形的,但是当它附着在一定的载体上时,就可以为人所感知。前面提到布图设计在集成电路芯片中表现为一定的图形,这种图形是可见的。同样,在掩模版上布图设计也是以图形方式存在的。计算机辅助设计技术的发展,使得布图设计可以数据代码的方式存储在磁盘或磁带中。在计算机控制的离子注入机或者电子束曝光装置中,布图设计也是以一系列的代码方式存在。人们可通过一定方式感知这些代码信息。布图设计是无形的,但是其载体,如掩模版、磁带或磁盘等等却可以是有形的。

2.布图设计具有可复制性

通常,我们说著作权客体具有可复制性,布图设计同样也具有著作权客体的这一特征。当载体为掩模版时,布图设计以图形方式存在。这时,只需对全套掩模版加以翻拍,即可复制出全部的布图设计。当布图设计以磁盘或磁带为载体时,同样可以用通常的磁带或磁盘拷贝方法复制布图设计。当布图设计被“固化”到已制成的集成电路产品之中时,复制过程相对复杂一些。复制者首先需要去除集成电路的外封装;再去掉芯片表面的钝化层;然后采用不同的腐蚀液逐层剥蚀芯片,并随时拍下各层图形的照片,经过一定处理后便可获得这种集成电路的全部布图设计。这种从集成电路成品着手,利用特殊技术手段了解集成电路功能、设计特点,获得其布图设计的方法被称为“反向工程”。

在集成电路产业中,这种反向工程被世界各国的厂商广泛采用。集成电路作为现代信息工业的基础产品,已渗透到电子工业的各个领域,其通用性或兼容性对技术的发展有着非常重要的意义。因此,而反向工程为生产厂商了解其他厂商的产品状况提供了可能。如果实施反向工程不是单纯地为复制他人布图设计以便仿制他人产品,而是通过反向工程方法了解他人品功能、参数等特性,以便设计出与之兼容的其他电路产品,或者在别人设计的基础上加以改进,制造出更先进的集成电路,都应当认为是合理的。著作权法中有合理使用的规定,但这种反向工程的特许还不完全等同于合理使用。比如,合理使用一般只限于复制原作的一部分,而这里的反向工程则可能复制全套布图设计。改编权是著作权的权能之一,他人未经著作权人同意而擅自修改其作品的行为是侵权行为,但这里对原布图设计的改进则不应视为侵权。

综之,无论何种载体,布图设计是具有可复制性的。

3.布图设计的表观形式具有非任意性著作权客体的表现形式一般是没有限制的。同一思想,作者可随意采取各种形式来表达,因此著作权法对其表现形式的保护并不会导致对思想的垄断。布图设计虽然在集成电路芯片中或掩模版上以图形的方式存在,具备著作权客体的外在特性,但是其表现形式因受诸多客观因素的限制,却是有限的或者非任意的。

首先,布图设计图形的形状及其大小受着集成电路参数要求的限制。如果要求集成电路  具有较高的击穿电压,设计人在完成布图设计时就必须将晶体管的基区图形设计为圆形,以  克服结面曲率半径较小处电场过于集中的影响。对于用于功率放大的集成电路,其功放管图  形的面积必须较大,使之得以承受大电流的冲击。

其次,布图设计还受着生产工艺水平的限制。为了提高集成电路的集成度或者追求高频 特性,常常需将集成电路中各元件的面积减小。这样,布图设计的线条宽度也相对较细。目前国。外已达到亚微米的数量级。但如果将线条设计得太细,以致工艺难度太大将会大大地降低集成电路成品率和可靠性,这是极不经济的;同样地,如果一味,地追求功率参数,将芯片面积增大,也会降低集成电路的成品率。

此外,布图设计还受着一些物理定律以及材料类及其特性等多种因素的限制。比如,晶体管可能因为基区自偏压效应而导致发射极间的电位不等。为克服基区自偏压效应,则需在加上均压图形。

虽然从理论上讲,突破这些限制条件的图形也可以受到著作权的保护,但由于布图设计的价值仅仅体现在工业生产中,所以对那些完全没有实用价值的、由设计人自由挥洒出来的所谓“布图设计”实施保护是没有任何意义的。这些图形不是真正意义上的布图设计,称其为一种“抽象作品”或许更为恰当。布图设计在表现形式的有限性方面,与工业产权客体相似。

三、布图设计权的特性

从上面的分析可知,集成电路布图设计有其自身的特征,并同时兼备著作权客体和工业产权客体的特性。在立法保护布图设计、规定创作人的布图设计权时,应当考虑这一特点。

首先,布图设计权应具备知识产权的共同特性,即专有性;时间性和地域性。布图设计具有无形性,同一布图设计可能同时为多数人占有或使用。为保障布图设计创作人的利益,布图设计权应当是一项专有权利。另一方面,布图设计的价值毕竟是通过其工业应用才得以实现。仅就一特定的布图设计而言,使用它的人越多,为社会创造的价值就越大。如果布图设计权在时间上是无限的,则不利于充分发挥其对社会的作用,也不利于集成电路技术的发展。所以布图设计权应有一定时间期限。当然,对时间期限的具体规定应当既考虑公共利益,又照顾到创作人的个人权益。只有找到二者的平衡点,才是利益分配的最佳状态。地域性作为知识产权的共性之一,同样为布图设计权所具备,在世界知识产权组织的《关于集成电路的知识产权条约》第三条;第四条和第五条的内容都涉地域问题,这实际上肯定了布图设计权的地域性。

其次,布图设计权还具有其独特的个性。下面将其分别与著作权和工业产权相对照,从而分析其特点。

1.布图设计权的产生方式与著作权不同,只有在履行一定的法律程序后才能产生。集成电路作为一种工业产品,一旦投放市场将被应用于各个领域,性能优良的集成电路可能会因其商业价值引来一些不法厂商的仿冒。另一方面,由于集成电路布图设计受到诸多因素的限  制,其表现形式是有限的,这就可能存在不同人完全独立地设计出具有相同实质性特点的布图设计的情况。这就是说,布图设计具有一定的客观自然属性,其人身性远不及普通著作权客体那样强。所以法律在规定布图设计权的产生时,必须对权利产生方式作出专门规定,否则便无法确认布图设计在原创人和仿冒人之间,以及不同的独立原创人之间的权利归属。

2.布图设计权中的复制权,与著作权中的复制权相比,受到更多的限制。翻开各国集成电路技术的发展史,反向工程在技术的发展中有着不可取代的作用。如果照搬著作权法中关于复制权地规定,实施反向工程将被认为是侵权行为。为了电子工业和集成电路技术的发展,应当对复制权加以一定的限制,允许在一定条件下或合理范围内实施反向工程,美国《半导体芯片保护法》第906条第一款中规定,“仅为了教学、分析或评价掩模作品中的概念或技术,或掩模作品中所采用的电路、逻辑流和图及元件的布局而复制该掩模作品者”;或进行上述的“分析或评价,以便将这些工作的结果用于为销售而制造的具有原创性的掩模作品之中者”均不构成侵犯掩模作品专有权。与此相反,单纯地为复制布图设计而实施反向工程仍为侵权。反向工程是对复制权的一种限制。

3.与工业产权相比,布图设计权产生的实质性条件也有所不同。专利法中“创造性”条件要求申请专利的技术方案具备“实质性特点”,而大多数集成电路达不到这一要求。比如,在设计专用集成电路时,常将一些已为人所熟知的单元电路加以组合,这种拼揍而成的集成电路大多难以满足专利法的创造性要求,这使得大量集成电路得不到专利法的保护,这正是传统专利制度与集成电路这一新型客体之间不协调的一面。所以集成电路保护法在创造性方面的要求不应象专利法要要求那么严,但也不能象著作权法完全不要求任何创造高度要求,因为布图设计的价值毕竟体现在工业应用上。

集成电路设计篇2

关键词 数字集成电路;频率计;逻辑控制;闸门

中图分类号:TN79 文献标识码:A 文章编号:1671-7597(2013)15-0037-01

在电子信息领域,信号频率的测量非常广泛,并且与许多电参量的测量有密切的关系。在仪器仪表、资源勘探等相关应用中,频率计是工程人员必不可少的测量工具。频率测量的方法有许多,其中数字计数器测量频率具有测量快、精度高、成本低等优点,是频率测量的重要应用之一。本文便通过对时基单元电路、分频单元电路、整形单元电路、延时清零单元电路等的整合运用,设计制作一款简单实用的数字频率计,并对具体单元电路进行分析。

1 频率计的工作原理

被测信号经输入端输入,经过放大整形后,通过主控电路,时基信号的下降沿到来时,控制电路主控门打开,Tx2周期内允许待测信号通过主控电路到达脉冲计数电路进行计数,待测信号的频率就是单位时间(1 s)内的得到的脉冲数,表达式为f=N/Tx2,其中f为被测信号的频率,N为计数器读得的脉冲数,Tx2是时基信号的周期,Tx2可由分频电路的开关获得,在时基信号的下一个下降沿到来时,主控门关闭,主控电路发出锁存信号锁存当前读得脉冲值,显示电路随即显示读得脉冲值,即测得信号频率。延时一段时间后,清零电路清零输出脉冲,将各计数器、触发器复位,准备下次测量。频率计的工作原理如图1所示。

2 系统硬件设计

2.1 放大整形电路的设计

放大整形电路单元主要由集成电路CC4011和运算放大器OP07构成。OP07构成的负反馈放大电路将微小的待测信号放大到能被数字集成电路有效识别的水平,再通过由与非门4011构成的斯密特触发器,整形得到方波脉冲。

2.2 时基电路的设计

时基电路单元主要由集成电路5G5544、32768Hz的晶体振荡器构成和微调电容等元件构成,自激工作,经调整后,可获得周期为1 s的精确方波信号。

2.3 分频电路的设计

分频电路单元主要由2片集成电路CC4518组成。4518是二-十进制同步计数器,计数器级联,上级计数器计满溢出后,通过下级计数器对时基信号进行10分频计数,4个计数器即可对时基信号进行1、10、100、1000分频,以确定测量周期Tx2,即切换频率计的量程。

2.4 主控电路的设计

主控电路单元由双D触发器CC4013和与非门CC4011构成。4013控制闸门选通信号,以控制主控门的开启/关闭。时基信号下降沿到达控制门1后,控制门1输出上升沿到4013(1)的CP端,使得4013(1)的Q1=1,主控门2开启,被测信号在主控门开启的时间内,可以通过主控门2送至计数器CLK端进行计数,一个测量周期Tx2后,时基信号再次到来的下降沿使主控门关闭,计数器停止计数,同时1使4013(2)的2=0,关闭控制门1,封锁时基信号,完成一次测量过程的控制。主控电路的原理图如图2所示。

2.5 延时清零电路的设计

延时清零电路单元由D触发器CC4013、非门CC4069、积分电路、单稳态电路等构成。CP在上升沿的作用下,4013翻转, =0,电路清零时,又将Q置0,=1,二极管迅速将电容充电,使电容一端达到高电平,而此时=0,电容通过电位器缓慢放电,一段时间后,电容一端电压降到4069的阈值电平,4069输出端产生上升沿,触发下级单稳态电路,单稳态电路随即产生正脉冲送到由4001构成的自动清零电路,将各计数器、触发器复位,等待下次测量。选择合适大小的R、C,使清零脉冲在锁存脉冲后沿产生,且宽度充分窄,在不影响测量精度的前提下又可以触发清零电路。

2.6 脉冲计数电路的设计

脉冲计数电路单元由6片74LS160级联构成。74LS160是同步十进制计数器,通过主控电路的脉冲信号输入到74LS160的脉冲计数端,在74LS160给定的计数周期内,对计得的脉冲个数进行量程转换后,即可得到待测信号的频率。

2.7 显示电路的设计

显示电路单元由6片CC4511和6只共阴极数码管构成。4511是一种BCD码译码器,可直接驱动6位共阴极数码管,6位分别表示个位、十位、百位、千位、万位、十万位,可测量1 Hz~1 MHz的频率。

3 结论

数字频率计是一种测量方波信号、正弦信号以及其他各种信号的频率仪器,在电路设计中广泛被应用。本文介绍的一种基于数字集成电路的频率计的设计方法,电路设计具有功能齐全、结构简单、成本较低、使用方便等优点。该频率计通过仿真、制作、误差分析等验证,可在多种测试场所广泛应用。

参考文献

[1]王伟明.数字频率计电路设计与分析[J].电子世界,2013.

[2]刘夫江.基于单片机和CPLD的等精度数字频率计设计[J].山东大学,2007.

[3]董玉冰.基于Multisim9.0简易数字频率计的设计与仿真[J].长春大学学报,2009.

[4]朱东南,等.基于CD4541的便携式数字频率计的设计[J].兰州工业学院学报,2013.

[5]刘文浩,等.CMOS数字频率计的设计与制作[J].莱阳农学院学报,1989.

[6]王昊鹏,等.简易数字频率计设计与实现,四川兵工学报,2011.

集成电路设计篇3

【关键词】集成电路布图设计知识产权

引言:随着集成电路制造工艺的迅猛发展,集成电路规模已发展到超大规模。由此带来的利益促使一些厂商通过各种方式获取他人技术,利用他人的技术成果牟取非法利益。因此,保护集成电路布图设计成为有关各界关注的问题。我国一直采取积极的态度对待集成电路知识产权保护问题,在一九五月通过的世界知识产权组织《关于集成电路的知识产权条约》文本上签字,并于2001年制定了《集成电路布图设计保护条例》。这一条例初步建立了我国集成电路布图设计的知识产权保护的理论体系,进一步完善了我国的知识产权法律制度。

一、集成电路布图设计的知识产权的特点

布图设计作为人类智力劳动的成果,具有知识产权客体的许多共性特征,应当成为知识产权法保护的对象,其特点主要表现在以下方面:

(一)无形性。

集成电路布图设计是指集成电路中各种元件的连接与排列,它本身是设计人员智慧的体现,是无形的。只有当这种设计固化到磁介质或掩膜上,才具有客观的表现形式,能够被人们感知、复制,从而得到法律的保护。

(二)创造性

集成电路布图设计具有创造性,是设计人自己创作的,有自己的独特之处。当今,要使每次的集成电路布图设计都达到显著的进步是不可能的,新的集成电路产品仅表现为集成度的提高。所以,已颁布集成电路保护法的国家,均不直接采纳专利法中的创造性和新颖性的标准,而是降低要求,以适应实际情况。

(三)可复制性

集成电路布图设计具有可复制性。对于集成电路成品,复制者只需打开芯片的外壳,利用高分辨率照相机,拍下顶层金属联接,再腐蚀掉这层金属,拍下下面那层半导体材料,即可获得该层的掩膜图。

由以上特点可以看出,布图设计是独立的知识产权客体,有着自己的特点。布图设计的无形性是知识产权客体的共性,创造性是专利权客体的特性,可复制性是著作权客体的一个必要特征,因此,传统的知识产权法律保护体系难以对布图设计进行保护。因而,很多国家基本上不引用著作权法或专利法来保护它,而是依据其特点,单独制订法规,将之作为独立的客体予以保护。

二、集成电路布图设计知识产权与其他知识产权的区别

1、与版权的区别

集成电路的布图设计,是一系列电子元件的立体布局,由一系列电子元件及连结这些元件的导线构成,既不是由语言文字,也不是由任何图形符号构成。而版权只对作品提供保护。作品是由语言、文字、图形或符号构成的,表现一种思想的智力成果。不论对各国立法及有关版权条约中的作品做多么广泛的解释,均不包括集成电路的这种封装在密封材料中,无法用肉眼分辨的立体布图设计。

2、与专利的区别

集成电路的布图设计是产品的中间形态,不具有独立的产品功能,复杂的布图设计,受保护的范围难以用文字描述的方式在权利要求书中说明。而专利是一种关于产品或方法或其改进的新的技术方案,对发明要求具有新颖性、创造性和实用性,并且专利权的范围以权利要求书的内容为准。因此,对于布图设计来说,一般难以受到专利法保护。目前大多数国家对专利实行实质审查。由于集成电路的技术复杂性,对于布图设计的新颖性、创造性和实用性的审查,将极为困难,使得实质审查很难进行。

综上所述,集成电路布图设计知识产权与传统的知识产权相比,有其特殊性,传统的知识产权法无法为集成电路提供充分有效的保护。但是集成电路的广泛应用又急需法律来提供保护,因此,必须突破现有知识产权法的界限,以专门立法来保护集成电路,于是产生了集成电路法。

三、国际上几个主要的集成电路知识产权立法

1、美国《半导体芯片法》

美国1984年的《半导体芯片法》内容详尽,包括:定义、保护的对象、所有权及其转让与许可、保护期限、掩膜作品的专有权、专有权的限制、申请登记、专有权的实施、民事诉讼、与其他法律的关系、过渡条款及国际过渡条款等。

2、日本《集成电路的电路布局法》

日本《电路布局法》共六章五十六条,并一个附则。由于日本是世界上第二个制定集成电路保护之专门立法的国家,当时,除了美国的《半导体芯片法》之外,并无任何国家的相关立法可供借鉴,因而其立法深受美国法的影响,在主要内容上与美国的《半导体芯片法》大致相似。

3、欧洲共同体《理事会指令》

在美日相继通过专门立法保护集成电路布图设计以后,一方面出于保护布图设计的需要,另一方面也迫于美国的压力,欧共体于1986年12月16日通过了《关于半导体产品布图设计法律保护的理事会指令》(87/54/EEC)(以下简称共同体指令)。该指令共4章12条,对于共同体各成员国的集成电路布图设计立法有着重大影响。

4、中国《集成电路布图设计保护条例》

我国早在1991年国务院就已将《半导体集成电路布图设计保护条例》列入了立法计划,经过10年的酝酿,我国的《集成电路布图设计保护条例》于2001年3月28日由国务院第36次会议通过,并于2001年10月1日起施行。

总而言之,集成电路的迅速发展已经使集成电路布图设计保护的问题客观地摆在了我们面前,这是技术进步和社会发展的必然。本文通过对布图设计特点、与其他知识产权的区别进行分析,期望使读者能够初步的了解布图设计知识产权产生的必然性及合理性,为今后在工作中有效地利用《集成电路布图设计保护条例》保护布图设计打下基础。

参考文献

[1]郭禾著. 《试论我国集成电路的法律保护》. 《计算机与微电子发展研究》1992年第3期

集成电路设计篇4

关键词 模拟集成电路设计;理论与实践相结合;仿真实验

中图分类号:G642.4 文献标识码:B

文章编号:1671-489X(2013)30-0095-02

集成电路设计相关课程体系是各高等院校电子科学与技术、电子信息科学与技术等工科专业核心专业课程设置的重要组成部分,为大学生深入学习掌握集成电路设计的基本原理、分析方法、仿真方式等打下基础。大多数理工科高校对电子类专业开设模拟集成电路设计和数字集成电路设计的课程,对学生进行综合培养。对于模拟和数字集成电路设计,如果要深入到晶体管级进行分析和设计,那都必须进行原理的深入学习。而在现实工作中,数字集成电路设计主要是通过运用高级硬件电路描述语言基于门级对电路进行设计,晶体管级的原理分析只是理论基础。模拟集成电路设计则必须完全深入晶体管级进行分析和设计,所以模拟集成电路设计更加繁琐和复杂,对理论分析的要求也更高。

本文通过笔者多年来在模拟集成电路设计理论和实践教学中积累的经验和教学心得,对如何在繁琐和复杂的教学中使学生更好地掌握知识体系进行探讨。

1 教材的选择

1.1 国外经典教材的参考

集成电路的设计国外特别是美国要领先中国几十年的技术水平,如绝大多数高精尖端的芯片都是被INTEL、AMD、TI、ADI这样的跨国巨头所垄断,在教学知识体系方面自然是美国的高校如斯坦福、加州大学等要比国内高校更加系统和完善。美国出版的多本教材更是被奉为集成电路设计的圣经,如拉扎维编著的《模拟CMOS集成电路设计》、艾伦编著的《CMOS模拟集成电路设计》等。但是即使是被奉为圣经的教材,虽然经典,也有其局限性。如拉扎维编著的《模拟CMOS集成电路设计》对电路的理论分析非常透彻且深入浅出,却缺乏相应的仿真实验来验证其理论分析;而艾伦编著的《CMOS模拟集成电路设计》虽有部分仿真实验来验证其理论分析,但其理论分析又不如拉扎维的教材那么透彻和深入浅出。

1.2 国内教材的选择

国内的高校虽然较国外高校而言在集成电路设计领域起步要晚,差距也很大,但是在近些年国家政策的大力扶持下,已经有了突飞猛进的发展。国内也有了几本模拟集成电路设计知识讲解得比较透彻的教材,比如:清华大学王自强编著的《CMOS集成放大器设计》就从简单知识入手,讲解浅显易懂;东南大学吴建辉编著的《CMOS模拟集成电路分析与设计》分析比较透彻,讲解自成体系。但是国内出版的教材也都缺乏相应的仿真实验来验证其理论分析。

针对国内学生在集成电路设计知识领域基础比较差的现状,可以选择国内讲解得比较简单浅显的教材为主线,并以国外经典教材为参考。

2 教学方法的改进

模拟集成电路设计作为电子科学与技术专业的一门专业核心课程,比某些专业基础课程如电路原理、数字电子技术、模拟电子技术等要难度更大,也更为繁琐和复杂。如果按照传统方式进行讲解,或者说仅仅是按照教材进行理论分析和推导,那么学生很难对这门知识深入理解和掌握。因此,在教学理论知识的过程中,穿教材中没有的、可以验证其相应理论的仿真实验,这样能够更好地使学生理解和掌握理论知识。

2.1 以HSPICE仿真实验为辅助

SPICE是一种可以用于电路仿真的工具,大家所熟知的有PSPICE,它是一种可以适用于分立原件的电路仿真工具,而HSPICE是在集成电路设计领域专业使用的高精度的仿真工具。专业的集成电路设计公司和研究所都是使用UNIX或LINUX环境下的大型专业工具软件进行集成电路设计仿真,而笔者所在高校因为在此领域起步较晚,专业开设也较晚,专业实验室也并不具备,所以并不具备很好的实验条件来进行实验辅助教学。因为HSPICE具有可以在Windows环境下方便使用的小型版本的软件,所以可以很方便地用在课堂教学中。

2.2 理论与实践相结合教学

在繁琐复杂理论分析和推导的过程中,不断地穿HSPICE仿真,来验证理论分析和推导的结果,可以让学生显著加深对理论的理解和掌握。HSPICE仿真部分的内容是清华、复旦、东南大学等高校教师出版的教材里面都没有详细讲解的内容,也是他们课堂理论讲解过程中不会涉及到的知识。而在笔者所在高校以HSPICE仿真实验为辅助,结合理论教学后,取得了积极显著的教学效果,学生对理论知识的理解和课程考试成绩都得到了大幅度的提升。以2008级到2010级电子类专业的学生为例,模拟集成电路设计课程考试得优率从22%提升到了43%以上,学生对此教学方法也是高度认同。

3 结束语

在我国大力实行人才战略,强调人才培养的大环境下,笔者所在高校也响应国家号召,加强本科生培养,实施卓越工程教育,取得积极可喜的成绩。国家在近些年大力支持集成电路设计的产业发展,国内在此领域也有了长足进步,但也更加需要更多的专业人才来满足市场需求。在此背景下,本文积极探索和提高模拟集成电路设计的教学方法,取得长足的进步和发展,也得到学生的高度认同。笔者希望自己的经验和方法可以为兄弟院校相关专业的教学提供参考和借鉴。

参考文献

[1]Lazavi.模拟CMOS集成电路设计[M].西安:西安交通大学出版社,2003.

[2]Allen P E.CMOS模拟集成电路设计[M].2版.北京:电子工业出版社,2011.

[3]王自强.CMOS集成放大器设计[M].北京:国防工业出版社,2007.

集成电路设计篇5

1数字集成电路设计实验课程教学现状

数字集成电路设计课程为黑龙江大学集成电路专业学生本科阶段的必修课。传统的数字集成电路设计实验教学课程可使学生加深对所学理论知识的理解,熟练软件使用过程,增强动手操作能力,但还存在如下三方面问题:A.实验教学方法有待改进。在传统的数字集成电路设计实验教学中,上课前,学生基本不了解实验仪器和软件,也不清楚实验课的内容。课程开始后,教师需要把相应理论知识、仪器操作和软件使用等内容一一讲授清楚,在有限学时内,更多的讲授时间就压缩了学生动手实验和探索更深入问题的时间,不利于学生实践能力的培养。B.实验课程内容相对简单。目前,黑龙江大学数字集成电路设计实验课程的内容较为基础,基本单元电路的设计仿真占比较大,开放性实验项目不多。实验内容主要涉及比较器、编码器和加法器等基础门电路的仿真,学生使用ModelSim软件通过Verilog语言编写相应电路的网表,然后编写对应testbench文件并进行仿真验证所写电路网表功能的正确性。这类基础实验有利于学生熟练掌握编程语言和软件使用,并加深对基本单元电路的理解,但内容相对简单,对于学生设计综合能力的进一步培养还有所欠缺。C.实验课程考核机制单一。传统数字集成电路设计实验课程的考核成绩只做为其理论课程总成绩的一小部分。黑龙江大学的数字集成电路设计实验课程的考核形式一般为学生每次实验课程中是否完成了几项规定的实验内容,所有实验内容完成后所得成绩的叠加即为该门实验课程的总成绩。由于实验内容具有固定性和同一性,成绩较好的学生快速完成实验内容后难于进一步进行探索研究,这种简单的考核方式无法很好反映出学生掌握实验技能的梯度,也不利于学生发挥创新型思维进行设计实验,阻碍了学生的实践能力发展。

2基于翻转课堂教学模式的改革探索

A.课堂翻转,提升学生学习质量。在翻转课堂教学模式中,教师应由专注“如何教”转向研究学生“如何学”。在数字集成电路设计实验教学中,教师可根据本次课程的实验内容,在课程开始前一周将相应的学习知识点、软件操作、硬件搭建及要解决的问题以电子文档或视频的形式放于共享平台上。学生需要在共享平台上进行课前学习,学习期间应查阅相关参考资料,将简单的知识点尽量通过自学解决,将重点难点问题标记出来,在课堂中与教师或学习小组交流、讨论,并最终解决问题[2]。这种翻转课堂教学模式改变了传统课堂的教学方式,强化了学生主动学习的意识,提高了课堂时间利用率,可提升学生的学习质量[3]。B.实验课程内容和模式改革。实验课程对学生基础知识掌握情况的检验和设计能力的培养至关重要,因此,应打破传统实验课程辅助理论课程开设的现状,将数字集成电路设计课程实验部分作为一门拥有独立学分的必修课。实验内容应具有基础性、多样性、创新性和完整性,确保学生在做好基础性实验后,切实提升创新性实验能力。实验内容中应增加综合电路设计题目所占比重。目前,实验室拥有SEED-XDTKFPGA教学实验平台,拥有视频显示、LED显示、数码管等验证设备,可开设多种实验教学项目。学生可利用该平成编写源代码、综合、编写测试文件、功能仿真、约束设计、布局布线后仿真、生成FPGA下载代码文件、FPGA下载程序和实验平台验证结果全流程。应充分利用SEED-XDTKFPGA教学实验平台的强大功能,将该平台贯穿数字集成电路设计实验课程始终,如:可增加数码管显示、LED跑马灯、频率计等基础实验项目,独立电路设计项目也应利用该平台进行开展。这对于提高学生的数字电路设计能力、动手实践能力和掌握FPGA开发过程具有重要意义。C.完善实验课程考核机制,注重学生创新能力培养。应建立课前学习考核制度,督促学生做好课前学习。翻转课堂教学模式若要在数字集成电路设计实验教学中达到好的效果,就必须建立适当的课前考核机制。可将学生课前学习时长和通过课前学习掌握基础知识的程度作为一项课程考核指标,考核分数计入最终实验课程成绩内(占实验总成绩的20%),进而督促学生必须做好课前学习。数字集成电路设计课程实验部分的主要任务是培养学生的数字集成电路设计能力,因此,要注重实验中创新性设计能力的考核。以往实验总成绩由每次实验得分累加获得,改革后,实验总成绩应为课前学习考核得分(20%)、每次完成实验内容考核得分(20%)和完成一个独立电路设计实验考核得分(60%)三项累加获得。独立电路设计实验需要完成电路建模、电路网表编写、testbench编写和在FPGA实验箱进行功能验证等工作。教师可根据学生在设计过程中每一步骤的完成情况给出准确的评价分数,这样可以较为细致地检验学生对基础知识和电路设计能力的掌握情况,而且独立电路设计实验分值占比较高,如果不能完成电路设计,则该门课程无法通过考核,可通过这种方式调动学生的积极性,加强学生的紧迫感,提高学生的学习质量。

3结语

通过对翻转课堂教学模式的研究,结合黑龙江大学数字集成电路设计实验教学课程现状,探索了基于翻转课堂的实验教学方法。该方法根据目前实验教学课程存在的问题,提出了课堂翻转、完善课程考核机制和实验内容改革的方法,可以增强师生之间的交互性,增加学生动手实验的时间,有助于教师在课堂上更好地掌握每一位学生真正的学习状态和学习效果,从而有效提升学生的数字集成电路设计能力、创新思维能力和实践能力。

参考文献:

[1]石端银,张晓鹏,李文宇.“翻转课堂”在数学实验课教学中的应用[J].实验室研究与探索,2016,35(01):176-178.

[2]王伟.基于翻转课堂的《土木工程材料》实验教学研究[J].四川建材,2018,44(08):245-246.

集成电路设计篇6

【关键词】集成电路设计大赛;创新;教育

IC Design Contest and Developing Innovative Ability

WANG Jinhui HOU Ligang GENG Shuqin YUAN Ying LI Jianjun

Beijing University of Technology

College of Electronic Information and Control Engineering,100124,China

Abstract:Innovation is the soul of a nation's progress and is an inexhaustible force for flourishing nation.IC design contest has four important functions in the university education.They are,respectively,IC design contest enable student to self-learn,innovate,and practise;IC design contest makes potential talents in technological innovation to be discovered and developed;IC design contest broadens employment areas for students;IC design contest improves the quality of university teaching.

Keyword:IC design contest;Innovation;Education

2011年9月18日,由北京电子协会主办的北京集成电路设计大赛如期举行,来自首都及天津地区高等院校微电子专业的200名大学生和研究生进行了笔试、电路设计和版图设计等竞赛单元的激烈角逐,争夺最终的各项大奖。本次集成电路设计大赛是面向大学生和研究生的专业性科技活动,是考察学生集成电路专业理论知识和解决实际问题能力的比赛,调动了广大学生学习集成电路学科专业知识的积极性。集成电路设计大赛有利于促进学科的建设和发展,提高高校教学质量;促进学生综合素质的提高和高校培养质量的提高;有利于增加学校的知名度;有利于增强学习氛围,促进良好学风;但是,从根本上讲,此次大赛引导和带动了创新人才的培养,开辟了创新人才培养的新路径。

同志在全国科学技术大会上指出:“创新是一个民族进步的灵魂,是国家兴旺发达的不竭动力。”建设高水平的高等教育人才培养体系,其关键和核心问题是培养和造就在各个专业中具有创新精神、创新能力和创新人格并最终能够取得创新成果的创新型人才。我国历史悠久,传统教育模式如今仍根深蒂固,即使在高等教育中也一直存在着重继承轻创新、重知识轻能力和重理论轻实践的教育观。只强调课本知识的课堂传授,忽视实验实践教学活动。由于这种教育理念的影响,教师会填鸭式的教学,只注重学生考试成绩的好坏,培养出来的学生创新思维和动手能力较差、继续学习能力欠缺,达不到书本知识和实践经验相结合的要求,满足不了当今高速发展的经济社会对新时期大学生和研究生的需求。面对此种窘境,学生开始渴望有机会参加理论学习之外的实践学习,渴望进行创新学习、创新研究和创新活动,尤其和工业实际联系比较紧密的集成电路专业,学生对这方面的需求更加强烈,集成电路设计大赛有着常规教学所不及的培养功能,参赛的各个高校的指导教师已基本形成共识,即集成电路设计大赛在高校集成电路创新人才培养中的引领作用不可替代。大赛在人才培养中的重要作用主要体现在以下几个方面:

第一,集成电路设计大赛提高了学生自学能力、实践能力和创新能力。学好基本理论和掌握专业技能,为将来的职业发展打下坚实基础,是大学生和研究生在高校中的主要任务。但是填鸭式的教学使许多学生只能处于被动选择、被动接受和被动学习的地位。其习得的理论和技能与实践脱节,这大大影响到学生学习的积极性和主动性。非常不利于学生的个性、潜能和兴趣的发挥。集成电路设计大赛把课堂教学延伸到实际工作之中,这样,学生可以根据自己的特长和爱好,经过阅读、讨论和实践等环节,优化知识结构,增强学生对创新活动的浓厚兴趣。大赛题目符合集成电路专业教学的基本要求,重视知识点和系统性相结合,强调提高学生的动手能力。因此,通过大赛不仅可以培养学生查阅文献的能力、自主学习的能力、解决问题的能力、综合分析能力、科技论文写作能力,还可以培养学生的创新思维,以及理论联系实际和团结协作的精神。很多学生由于参与大赛,懂得了团结合作、共同进步、取长补短的重要性,所以大赛也培养了学生的人格。

第二,通过集成电路设计大赛,发掘了大批在科技创新方面有潜力的优秀大学生和研究生。大赛以当今快速发展的集成电路产业为背景,对学生在实际动手能力、策划能力、协调组织能力等方面提出了更高的要求。所以,大赛极大的激发了学生求知欲和创造欲,为学生提供了一个施展才能的空间和科学实践的舞台。大赛既考验了广大学生是否具有坚定的信念、顽强的意志、敢于迎难而上和团结协作的精神等优秀素质,也检验了它们的创新思维、创新能力、创新意识、创新精神。可以说,大赛对学生专业素质的培养、意志的磨炼是课本上没有的,是课堂教学所远不能及的。因而通过此次集成电路设计大赛,大批大学生和研究生的精英脱颖而出,并被广泛关注。

第三,集成电路设计大赛为学生就业拓宽了渠道。大赛为优秀人才的脱颖而出提供了专门舞台。通过集成电路设计大赛,能够发现和培养一批在科技创新方面有作为、有潜力的优秀人才,这样一方面学生以大赛成绩作为自己就业能力的证明,增加就业的筹码;另一方面,企业可以通过大赛选拔人才,组委会和高校也可以通过大赛吸引知名企业前来观摩,从而推荐大赛成绩优异者,这也为高校部分解决了就业问题。在大赛的研讨会上,很多公司的代表都表示,非常愿意吸收获得优异成绩的参赛者,进入企业的研发一线,所以集成电路设计大赛达到了企业、学生和高校的三赢,但收获最大的还是学生本身。

第四,集成电路设计大赛具有促进高校学风建设、学科和专业建设,提高教学质量的功能。高校学风是大学生和研究生在求知目的、治学态度、认识方法等方面长期形成的具有一定稳定性和持续性的精神倾向、心理特征的综合外在表现形式。集成电路设计大赛要求学生具有扎实的基本功,实事求是的态度,学生为了适应大赛的要求在大赛准备阶段必须刻苦努力,脚踏实地,自然学风大为改善。在高校,以何为基点科学地制定学科发展规划,是学科建设的核心问题,集成电路设计大赛能够有效地检验基点适当与否,起到了晴雨表和校正仪的作用。大赛的成绩能有效地反映学校的办学水平、人才培养目标、人才培养特色、人才培养质量,以及专业建设、课程体系建设、教学方法、教学手段等内容。大赛的功效之一,就在于它能够发现人才培养环节中的问题和不足,从而促使我们调整学科布局、完善学科组织、加强学科队伍、建设学科基地、建立学科制度、营造学科环境等。

总之,对学生进行创新能力的培养是当今建设高水平大学的核心任务之一,刻不容缓,而又任重而道远。组织引导学生参加集成电路设计大赛,无疑是一条极佳的实现途径。而高校要做的工作是把日常教学、实践环节、学科建设、人才培养、甚至学生就业等与集成电路设计大赛进行有机结合,逐步实现以大赛为依托、培养学生实践创新能力的目标。

参考文献

[1]杨一涛.大学生竞赛与本科教学相结合培养人才的方法探索[J].南昌高专学报,2010

(03):77-79.

[2]周治瑜,王瑞斌,胡丽华,等.学科竞赛是培养大学生创新素质的重要载体[J].现代农业科学,2008(05):100-101.

[3]陈天虹,文献民,葛龙威,等.依托学科竞赛培养学生的实践创新能力——以大学生结构竞赛为例[J].浙江科技学院学报,2008

(02):136-138.

[4]李苏北.以学科竞赛为载体推动课程建设与学生创新能力培养[J].大学数学,2009

(05):8-10.

[5]陈立章,黄圣生,彭红,等.抓好学科竞赛,提高大学生培养质量[J].湖南医科大学学报(社会科学版),2002(02):62-63.

集成电路设计篇7

一、研究专题和期限

专题一:FPGA器件、配套软件系统及其测试技术的研发

(一)研究目标与内容

研究目标:

研发基于自主知识产权的FPGA器件,实现器件与配套软件的产品化,并在通信、消费类电子、汽车电子、工业控制、互联网信息安全等领域得到应用。研制与国际主流芯片兼容的抗辐照百万门级FPGA,能够满足航空、航天等应用工程的需求。

研究内容:

1.高性能FPGA器件系统:FPGA器件结构研究,FPGA配套EDA软件研究,FPGA的封装测试技术研究。

2.百万门级FPGA关键技术:百万门级抗辐照FPGA器件及其配套EDA设计系统的研究,满足航空、航天等应用工程的需求;

3.多核平台化百万门级FPGA器件的开发及其配套EDA设计系统的研究;

4.FPGA产品化及产业化应用推广技术:完成高性能FPGA的产品化,实现其在通信、消费类电子、汽车电子、工业控制、互联网信息安全等领域的应用。

(二)研究期限:

*年9月30日前完成。

专题二:便携式多媒体终端、数字电视中相关芯片及模块研发

(一)研究目标与内容

研究目标:

基于国内主流集成电路制造工艺,研发便携式多媒体终端和数字电视中的相关芯片、模块与解决方案,实现高性能、低功耗,并得到实际应用。

研究内容:

1.研究数字电视各类标准的低功耗、低成本和高性能编、解码算法及其IP核的实现,通过对各类IP的集成及其配套软件开发,形成移动数字电视和手持多媒体终端芯片开发的SoC平台及其应用。

2.研究无线信道解调关键技术,研发融合地面国标和手机电视功能的信道解调模块(芯片),并为终端厂商提供单模块解决方案。

3.研究高性能的参数可调图像缩放算法、接口技术、图像抖动处理、伽玛校正与过驱动处理等功能模块专有技术;开发图像控制、处理专用芯片以及将各种处理技术融合的SoC芯片及应用系统。

(二)研究期限:

*年9月30日前完成。

专题三:模拟及接口电路产品与应用解决方案研发

(一)研究目标与内容

研究目标:

以通信,消费类电子,计算机及计算机接口设备的市场应用为目标,设计和研发基于国

内亚微米BCD等工艺技术的模拟及数字模拟混合集成电路产品。开发多系列绿色节能电源

管理芯片产品及整体电源管理解决方案。

研究内容:

1.平板显示器电源管理系统中AC/DC、DC/AC控制、大功率白光LED驱动集成电路和开关系列芯片开发及应用解决方案,实现较高的节能降耗水平。

2.适合于便携式电子产品应用的模拟及接口集成电路芯片及应用解决方案。

3.面向便携式设备的多模直流电压变换控制芯片的开发。

4.应用多媒体接口的多媒体数据矩阵电路以及相关的ESD+EMI保护电路。

(二)研究期限:

*年9月30日前完成。

专题四:宽带通信领域核心IP和集成电路特种工艺设计技术的研究

(一)研究目标与内容

研究目标:

围绕国内超深亚微米工艺发展重点,开发宽带通信与接入系统用成套电路和关键IP核研究;研究纳米级工艺SoC设计所必需的关键技术、特种工艺设计技术和整体解决方案。

研究内容:

1.新型宽带无线通信与接入系统的射频收发机芯片和关键IP核研究,研发相应的模块、系统解决方案及终端产品;数字基带关键算法研究及其VLSI实现研究,完成相应关键IP核的嵌入式应用。

2.利用无线局域网实现有线电视网络数字视频信息传输(EoC)的芯片研发。

3.面向下一代有线电视网络的550MHz~1.2GHz的多载波宽带接入成套芯片及音视频宽带应用SoC芯片研究。

4.用于通讯、汽车电子、太阳能利用等领域的特种设计技术,专用控制芯片及应用系统的研发。

(二)研究期限:

*年9月30日前完成。

专题五:超宽带无线通信关键射频集成电路、核心IP研究与实现

(一)研究目标与内容

研究目标:

基于CMOS工艺技术,研究应用于MB-OFDM超宽带(UWB)系统的射频收发集成电路,提出MB-OFDM-UWB系统的射频收发集成电路解决方案,以支持数据传输速率达到100Mbps以上,传输距离不小于10米的超宽带通信系统,实现超宽带技术在数字家庭无线互连、多媒体视频传输等短距离无线通信领域的应用。

研究内容:

1.研究针对OFDM超宽带体系(工作频段为3.1GHz~4.8GHz或更高)的CMOS射频收发器、快速跳频的频率综合器等关键射频及混合信号集成电路设计及实现技术。

2.开发相应的超宽带ASIC或SoC芯片,特别是超宽带射频芯片。

3.研制超宽带无线通信试验系统。

(二)研究期限:

*年9月30日前完成。

二、申请方式

1、本指南公开。凡符合课题制要求、有意承担研究任务的在*注册的法人、自然人均可以从“*科技”网站()上进入“在线受理科研计划项目可行性方案”,并下载相关表格《*市科学技术委员会科研计划项目课题可行性方案(*版)》,按照要求认真填写。

2、申报单位应具备较强技术实力和基础,具备实施项目研究必备条件及匹配资金;鼓励产学研联合申请,多家单位联合申请时,应在申请材料中明确各自承担的工作和职责,并附上合作协议或合同。

3、课题责任人年龄不限,鼓励通过课题培养优秀的中青年学术骨干。课题责任人和主要科研人员,同期参与承担国家和地方科研项目数不得超过三项。

4、已申报今年市科委其它类别项目者应主动予以申明,未申明者按重复申报不予受理。

5、每一课题的申请人可以提出不超过2名的建议回避自己课题评审的同行专家名单(名单需随课题可行性方案一并提交)。

6、本课题申请起始日期为*年6月4日,截止日期为*年6月25日。课题申报时需提交书面可行性方案一式4份,并通过“*科技”网站在线递交电子文本1份。书面可行性方案集中受理时间为*年6月19日至25日,每个工作日上午9:00~下午4:30。所有书面文件请采用A4纸双面印刷,普通纸质材料作为封面,不采用胶圈、文件夹等带有突出棱边的装订方式。

7、网上填报备注:

(1)登陆“*科技”网,进入网上办事专栏;

(2)点击《科研计划项目课题可行性方案》受理并进入申报页面:

-【初次填写】转入申报指南页面,点击“专题名称”中相应的指南专题后开始申报项目(需要设置“项目名称”、“依托单位”、“登录密码”);

-【继续填写】输入已申报的项目名称、依托单位、密码后继续该项目的填报。

集成电路设计篇8

关键词:集成电路设计;版图;CMOS

作者简介:毛剑波(1970-),男,江苏句容人,合肥工业大学电子科学与应用物理学院,副教授;汪涛(1981-),男,河南商城人,合肥工业大学电子科学与应用物理学院,讲师。(安徽?合肥?230009)

基金项目:本文系安徽省高校教研项目(项目编号:20100115)、省级特色专业项目(项目编号:20100062)的研究成果。

中图分类号:G642?????文献标识码:A?????文章编号:1007-0079(2012)23-0052-02

集成电路(Integrated Circuit)产业是典型的知识密集型、技术密集型、资本密集和人才密集型的高科技产业,是关系国民经济和社会发展全局的基础性、先导性和战略性产业,是新一代信息技术产业发展的核心和关键,对其他产业的发展具有巨大的支撑作用。经过30多年的发展,我国集成电路产业已初步形成了设计、芯片制造和封测三业并举的发展格局,产业链基本形成。但与国际先进水平相比,我国集成电路产业还存在发展基础较为薄弱、企业科技创新和自我发展能力不强、应用开发水平急待提高、产业链有待完善等问题。在集成电路产业中,集成电路设计是整个产业的龙头和灵魂。而我国集成电路设计产业的发展远滞后于计算机与通信产业,集成电路设计人才严重匮乏,已成为制约行业发展的瓶颈。因此,培养大量高水平的集成电路设计人才,是当前集成电路产业发展中一个亟待解决的问题,也是高校微电子等相关专业改革和发展的机遇和挑战。[1-4]

一、集成电路版图设计软件平台

为了满足新形势下集成电路人才培养和科学研究的需要,合肥工业大学(以下简称“我校”)从2005年起借助于大学计划,和美国Mentor Graphics公司、Xilinx公司、Altera公司、华大电子等公司合作建立了EDA实验室,配备了ModelSim、IC Station、Calibre、Xilinx ISE、Quartus II、九天Zeni设计系统等EDA软件。我校相继开设了与集成电路设计密切相关的本科课程,如集成电路设计基础、模拟集成电路设计、集成电路版图设计与验证、超大规模集成电路设计、ASIC设计方法、硬件描述语言等。同时对课程体系进行了修订,注意相关课程之间相互衔接,关键内容不遗漏,突出集成电路设计能力的培养,通过对课程内容的精选、重组和充实,结合实验教学环节的开展,构成了系统的集成电路设计教学过程。[5,6]

集成电路设计从实现方法上可以分为三种:全定制(full custom)、半定制(Semi-custom)和基于FPGA/CPLD可编程器件设计。全定制集成电路设计,特别是其后端的版图设计,涵盖了微电子学、电路理论、计算机图形学等诸多学科的基础理论,这是微电子学专业的办学重要特色和人才培养重点方向,目的是给本科专业学生打下坚实的设计理论基础。

在集成电路版图设计的教学中,采用的是中电华大电子设计公司设计开发的九天EDA软件系统(Zeni EDA System),这是中国唯一的具有自主知识产权的EDA工具软件。该软件与国际上流行的EDA系统兼容,支持百万门级的集成电路设计规模,可进行国际通用的标准数据格式转换,它的某些功能如版图编辑、验证等已经与国际产品相当甚至更优,已经在商业化的集成电路设计公司以及东南大学等国内二十多所高校中得到了应用,特别是在模拟和高速集成电路的设计中发挥了强大的功能,并成功开发出了许多实用的集成电路芯片。

九天EDA软件系统包括ZeniDM(Design Management)设计管理器,ZeniSE(Schematic Editor)原理图编辑器,ZeniPDT(physical design tool)版图编辑工具,ZeniVERI(Physical Design Verification Tools)版图验证工具,ZeniHDRC(Hierarchical Design Rules Check)层次版图设计规则检查工具,ZeniPE(Parasitic Parameter Extraction)寄生参数提取工具,ZeniSI(Signal Integrity)信号完整性分析工具等几个主要模块,实现了从集成电路电路原理图到版图的整个设计流程。

二、集成电路版图设计的教学目标

根据培养目标结合九天EDA软件的功能特点,在本科生三年级下半学期开设了为期一周的以九天EDA软件为工具的集成电路版图设计课程。

集成电路设计篇9

关键词:模拟 集成电路 设计 自动化综合流程

中图分类号:TN431 文献标识码:A 文章编号:1672-3791(2013)03(a)-0062-02

随着超大规模集成电路设计技术及微电子技术的迅速发展,集成电路系统的规模越来越大。根据美国半导体工业协会(SIA)的预测,到2005年,微电子工艺将完全有能力生产工作频率为3.S GHz,晶体管数目达1.4亿的系统芯片。到2014年芯片将达到13.5 GHz的工作频率和43亿个晶体管的规模。集成电路在先后经历了小规模、中规模、大规模、甚大规模等历程之后,ASIC已向系统集成的方向发展,这类系统在单一芯片上集成了数字电路和模拟电路,其设计是一项非常复杂、繁重的工作,需要使用计算机辅助设计(CAD)工具以缩短设计时间,降低设计成本。

目前集成电路自动化设计的研究和开发工作主要集中在数字电路领域,产生了一些优秀的数字集成电路高级综合系统,有相当成熟的电子设计自动化(EDA)软件工具来完成高层次综合到低层次版图布局布线,出现了SYNOPSYS、CADENCE、MENTOR等国际上著名的EDA公司。相反,模拟集成电路自动化设计方法的研究远没有数字集成电路自动化设计技术成熟,模拟集成电路CAD发展还处于相当滞后的水平,而且离实用还比较遥远。目前绝大部分的模拟集成电路是由模拟集成电路设计专家手工设计完成,即采用简化的电路模型,使用仿真器对电路进行反复模拟和修正,并手工绘制其物理版图。传统手工设计方式效率极低,无法适应微电子工业的迅速发展。由于受数/模混合集成趋势的推动,模拟集成电路自动化设计方法的研究正逐渐兴起,成为集成电路设计领域的一个重要课题。工业界急需有效的模拟集成电路和数模混合电路设计的CAD工具,落后的模拟集成电路自动化设计方法和模拟CAD工具的缺乏已成为制约未来集成电路工业发展的瓶颈。

1 模拟集成电路的设计特征

为了缩短设计时间,模拟电路的设计有人提出仿效数字集成电路标准单元库的思想,建立一个模拟标准单元库,但是最终是行不通的。模拟集成电路设计比数字集成电路设计要复杂的得多,模拟集成电路设计主要特征如下。

(1)性能及结构的抽象表述困难。数字集成电路只需处理仅有0和1逻辑变量,可以很方便地抽象出不同类型的逻辑单元,并可将这些单元用于不同层次的电路设计。数字集成电路设计可以划分为六个层次:系统级、芯片级(算法级),RTL级、门级、电路级和版图级,电路这种抽象极大地促进了数字集成电路的设计过程,而模拟集成电路很难做出这类抽象。模拟集成电路的性能及结构的抽象表述相对困难是目前模拟电路自动化工具发展相对缓慢,缺乏高层次综合的一个重要原因。

(2)对干扰十分敏感。模拟信号处理过程中要求速度和精度的同时,模拟电路对器件的失配效应、信号的耦合效应、噪声和版图寄生干扰比数字集成电路要敏感得多。设计过程中必须充分考虑偏置条件、温度、工艺涨落及寄生参数对电路特性能影响,否则这些因素的存在将降低模拟电路性能,甚至会改变电路功能。与数字集成电路的版图设计不同,模拟集成电路的版图设计将不仅是关心如何获得最小的芯片面积,还必须精心设计匹配器件的对称性、细心处理连线所产生的各种寄生效应。在系统集成芯片中,公共的电源线、芯片的衬底、数字部分的开关切换将会使电源信号出现毛刺并影响模拟电路的工作,同时通过衬底祸合作用波及到模拟部分,从而降低模拟电路性能指标。

(3)性能指标繁杂。描述模拟集成电路行为的性能指标非常多,以运算放大器为例,其性能指标包括功耗、低频增益、摆率、带宽、单位增益频率、相位余度、输入输出阻抗、输入输出范围、共模信号输入范围、建立时间、电源电压抑制比、失调电压、噪声、谐波失真等数十项,而且很难给出其完整的性能指标。在给定的一组性能指标的条件下,通常可能有多个模拟电路符合性能要求,但对其每一项符合指标的电路而言,它们仅仅是在一定的范围内对个别的指标而言是最佳的,没有任何电路对所有指标在所有范围内是最佳的。

(4)建模和仿真困难。尽管模拟集成电路设计已经有了巨大的发展,但是模拟集成电路的建模和仿真仍然存在难题,这迫使设计者利用经验和直觉来分析仿真结果。模拟集成电路的设计必须充分考虑工艺水平,需要非常精确的器件模型。器件的建模和仿真过程是一个复杂的工作,只有电路知识广博和实践经验丰富的专家才能胜任这一工作。目前的模拟系统验证的主要工具是SPICE及基于SPICE的模拟器,缺乏具有高层次抽象能力的设计工具。模拟和数模混合信号电路与系统的建模和仿真是急需解决的问题,也是EDA研究的重点。VHDL-AMS已被IEEE定为标准语言,其去除了现有许多工具内建模型的限制,为模拟集成电路开拓了新的建模和仿真领域。

(5)拓扑结构层出不穷。逻辑门单元可以组成任何的数字电路,这些单元的功能单一,结构规范。模拟电路的则不是这样,没有规范的模拟单元可以重复使用。

2 模拟IC的自动化综合流程

模拟集成电路自动综合是指根据电路的性能指标,利用计算机实现从系统行为级描述到生成物理版图的设计过程。在模拟集成电路自动综合领域,从理论上讲,从行为级、结构级、功能级直至完成版图级的层次的设计思想是模拟集成电路的设计中展现出最好的前景。将由模拟集成电路自动化综合过程分为两个过程。

模拟集成电路的高层综合、物理综合。在高层综合中又可分为结构综合和电路级综合。由系统的数学或算法行为描述到生成抽象电路拓扑结构过程称为结构级综合,将确定电路具体的拓扑结构和确定器件尺寸的参数优化过程称为电路级综合。而把器件尺寸优化后的电路图映射成与工艺相关和设计规则正确的版图过程称为物理综合。模拟集成电路自动化设计流程如图1所示。

2.1 模拟集成电路高层综合

与传统手工设计模拟电路采用自下而上(Bottom-up)设计方法不同,模拟集成电路CAD平台努力面向从行为级、结构级、功能级、电路级、器件级和版图级的(Top-down)的设计方法。在模拟电路的高层综合中,首先将用户要求的电路功能、性能指标、工艺条件和版图约束条件等用数学或算法行为级的语言描述。目前应用的SPICE、MAST、SpectreHDL或者不支持行为级建模,或者是专利语言,所建模型与模拟环境紧密结合,通用性差,没有被广泛接受。IEEE于1999年3月正式公布了工业标准的数/模硬件描述语言VHDL-AMS。VHDL-1076.1标准的出现为模拟电路和混合信号设计的高层综合提供了基础和可能。VHDL一AMS是VHDL语言的扩展,重点在模拟电路和混合信号的行为级描述,最终实现模拟信号和数模混合信号的结构级描述、仿真和综合125,28]。为实现高层次的混合信号模拟,采用的办法是对现有数字HDL的扩展或创立新的语言,除VHDL.AMS以外,其它几种模拟及数/模混合信号硬件描述语言的标准还有MHDL和Verilog-AMS。

2.2 物理版图综合

高层综合之后进入物理版图综合阶段。物理综合的任务是从具有器件尺寸的电路原理图得到与工艺条件有关和设计规则正确的物理版图。由于模拟电路的功能和性能指标强烈地依赖于电路中每一个元件参数,版图寄生参数的存在将使元件参数偏离其设计值,从而影响电路的性能。需要考虑电路的二次效应对电路性能的影响,对版图进行评估以保证寄生参数、器件失配效应和信号间的祸合效应对电路特性能影响在允许的范围内。基于优化的物理版图综合在系统实现时采用代价函数表示设计知识和各种约束条件,对制造成本和合格率进行评估,使用模拟退火法来获取最佳的物理版图。基于规则的物理版图综合系统将模拟电路设计专家的设计经验抽象为一组规则,并用这些规则来指导版图的布线布局。在集成电路物理综合过程中,在保证电路性能的前提下,尽量降低芯片面积和功耗是必要的。同时应当在电路级综合进行拓扑选择和优化器件尺寸阶段对电路中各器件之间的匹配关系应用明确的要求,以此在一定的拓扑约束条件下来指导模拟集成电路的版图综合。

模拟电路设计被认为是一项知识面广,需多阶段和重复多次设计,常常要求较长时间,而且设计要运用很多的技术。在模拟电路自动综合设计中,从行为描述到最终的版图过程中,还需要用专门的CAD工具从电路版图的几何描述中提取电路信息过程。除电路的固有器件外,提取还包括由版图和芯片上互相连接所造成的寄生参数和电阻。附加的寄生成分将导致电路特性恶化,通常会带来不期望的状态转变,导致工作频率范围的缩减和速度性能的降低。因此投片制造前必须经过电路性能验证,即后模拟阶段,以保证电路的设计符合用户的性能要求。正式投片前还要进行测试和SPICE模拟,确定最终的设计是否满足用户期望的性能要求。高层综合和物理综合从不同角度阐述了模拟集成电路综合的设计任务。电路的拓扑选择和几何尺寸可以看成电路的产生方面,物理版图综合得到模拟集成电路的电路版图,可以认为电路的几何设计方面。

参考文献

集成电路设计篇10

当今世界,计算机的发展已成为领导工业现代化进程的潮头军,自1946年世界第一台电子计算机诞生以来,短短的五十多年间,计算机作为一种现代化的高级工具以惊人的速度迅速地渗透到了社会生活的各个领域,引起了全球的技术革命。计算机技术的飞速发展离不开另一门产业的发展,即集成电路产业。因为集成电路的出现才使计算机摆脱了电子管、晶体管等原材料构件的束缚,逐步走向小型化,轻型化,高智能化,迅速走向了社会,走入了家庭。

集成电路产业的飞速发展,产生了许多新的法律问题,由于传统知识产权法的局限性以及集成电路及其布图设计本身存在着的特殊性,集成电路布图设计的法律保护问题也引起了法学界的极大关注。各国也纷纷就集成电路布图设计进行立法,以保护此种特殊性质的知识产权不受侵害。

我国早在1991年国务院就已将《半导体集成电路布图设计保护条例》列入了立法计划,经过10年的酝酿,我国的《集成电路布图设计保护条例》终于于2001年3月28日由国务院第36次会议通过,并于2001年10月1日起施行。这是目前我国保护集成电路布图设计知识产权的一部重要法规。虽然它是一部行政法规,但经过试行一段时间到条件成熟后,将之上升为法律的形式是必然的趋势。我国采用专门立法的形式保护集成电路布图设计既尊重了国际知识产权保护的原则,又便于与国际法律接轨,而且这部条例既保护了集成电路布图设计专有权人的权益,又考虑到了国家和公众的利益,使技术进步不受到人为的限制。这一条例初步建立了我国集成电路布图设计的知识产权保护的理论体系,进一步完善了我国的知识产权法律制度。

一、集成电路和布图设计的概念与特点

集成电路是指半导体集成电路,即以半导体材料为基片,将至少有一个是有源元件的两个以上元件和部分或者全部互连线路集成在基片之中或基片之上,以执行某种电子功能的中间产品或者最终产品。一块集成电路通过控制电流在其电路中的流动来实现其功效。在计算机发展的初期,每个电路元件(如晶体管、电阻、电容等)都是用引线同电路中的其它元件相连接的。这种做法须耗费大量的劳动力与工时,且计算机制作成本很高,大量连线的存在使电流的流动距离增长,不仅影响了计算机工作的速度和可靠性,还引起电路功耗的增加,从而带来电路的散热以及要求有较高电压的电源等一系列的问题。这也正是最初计算机体积庞大、耗电量大、速度慢的根本原因。采用集成电路以后,这些问题就得到了解决:由于电路元件及连线实质上已成为一体,作为一块电路板上的不同元件,它们之间的电流交换速度大大增强,且电路的功耗亦大幅度降低,不仅提高了计算机的性能,还大大降低了计算机的成本。由于生产集成电路的主要原材料硅、铝、水等一些化合物并不昂贵,但经过加工以后得到的集成电路产品的价值往往可以达到其材料价值的几十倍,几百倍甚至上千倍。在其价值成本中,大部分都是知识、技术与信息所增加的附加价值。这种附加价值主要集中在以集成电路为载体而体现出来的人类智慧的结晶-布图设计的价值上。就象相同的磁带因为录制不同的歌曲其价值就会不同一样,用相同的技术工艺在同样的芯片上依不同的布图设计所制作出的集成电路,其价值也是不同的。好的布图设计制作出的芯片往往能具备更高的性能和工作速度。因此,集成电路的法律保护问题,归根结底在于对其布图设计的保护。

对布图设计,世界各国的称呼各有不同:美国称之为掩膜作品,(Mask Work),日本称之为电路布局(Circuit Layout),欧洲国家采用的是另一个英文单词Topography(拓朴图),而世界知识产权组织(WIPO)于1987年2月通过的《关于集成电路知识产权保护条约》(简称《WIPO条约》或《华盛顿条约》)中则采用了Layout-design(布图设计)一词。这些词语字面上的表示虽各不相同,但其真正的含义都是相同的,即指集成电路中各种元件的三维配置。许多人认为布图设计只是一种设计图,就象建筑工程设计图一样。事实上布图设计与建筑工程设计图这种一般的二维设计图是不同的,它是一种有许多不同层面的三维设计,每一层面上又有许多复杂的电路布图装置图,而且最重要的是,真正可以用于实践的布图设计是经过了特殊的工艺按实物尺寸复制在玻璃板上,可以直接加工在芯片上的模本,即掩膜版。现在世界上虽已有一些更先进的模本技术,但是最终布图设计还是必须做成与集成电路产品实物一般大小的模本,才可算是完成了布图设计的制作。在生产过程中,这些模本是直接被“做”到产品中去成为产品的一部分,而不是象建筑设计图那样本身与实际的建造结果之间并无联系。一个小小的掩膜作品中所包含的电路设计图往往可以是几十张上千张甚至上万张。设计一组布图设计,需要付出巨大的创造性劳动,它代表着芯片开发中的主要投资,可占其成本的50%以上。布图设计作为人类智力劳动的成果,具有知识产权客体的许多共性特征,应当成为知识产权法保护的对象,其特点主要表现在:

(一)无形性。

布图设计作为一种元件的“三维配置”,这种配置方式本身是无形的、抽象的,是人类智慧的体现,但它可以通过有形的载体表现出来而为人所感知。当它被制作成芯片时,表现为一定的构形;当它被制成掩膜版时,表现为一定的图形;当它被输入计算机时,则以一定的数据代码的方式存储在磁盘之中。

(二)可复制性。

布图设计具有可复制性,但其可复制性与一般著作权客体的可复制性不同。当布图设计的载体为掩膜版时,它以图形方式存在,这时只要对全套掩膜版加以翻拍,即可复制出全部的布图设计。当布图设计以磁盘为载体时,同样可用通常的拷贝方法复制。当布图设计的载体为集成电路芯片时,它同样可以被复制,只是复制过程相对要复杂一些。复制者要先把芯片的塑料或陶瓷外壳打开,利用一台高分辨率的照相机,把顶上的金属联接层照下来,再用酸把这层金属腐蚀掉,对下面那层半导体材料照相,获得该层的掩膜作品。照完后利用相同的方法再照下一层,如此一步一步做下去,就可以得到这一芯片的全套掩膜,依靠这套掩膜就可以模仿生产该芯片。这种从集成电路成品着手,利用特殊技术手段了解其布图设计的方法被称为“反向工程”方法。这种方法虽需一定的技术要求,但是比起原开发者漫长艰辛的开发过程,其所花费的时间和精力都只是后者的若干分之一。

(三)表现形式的非任意性。

布图设计是与集成电路的功能相对应的。布图设计的表现形式要受到电路参数、实物产品尺寸、工艺技术水平、半导体材料结构和杂质分布等技术因素和物理规律的限制,因此开发新的功能相同或相似的集成电路,其布图设计不得不遵循共同的技术原则和设计原则,有时还要采用相同的线宽,甚至采用相同的电路单元。这就造成了对布图设计侵权认定难度的加大,有关这一点,笔者将在后文论述。

由以上特点可以看出,布图设计的无形性是知识产权客体的共性,可复制性是著作权客体的一个必要特征,表现形式的非任意性则是工业产权客体的特性,因此,布图设计成为了一种兼有著作权和工业产权客体双重属性的特殊知识产权客体,很难在传统的知识产权法律保护体系中得到完善的保护。因此要想求取良好适当的法律保护模式,就必须突破传统的界限。针对布图设计自身的特征,制定出专门的单行法律加以保护,这是世界上大多数国家的共识。我国也正是采用了此种立法方式。

二、我国集成电路布图设计知识产权保护的理论体系

我国集成电路布图设计的知识产权保护体系是在传统知识产权法理论的基础上,借鉴国外的一些理论和实践建立起来的。这一理论体系的核心概念即布图设计专有权。

(一)布图设计专有权的概念和要素

1、概念

布图设计专有权就是布图设计的创作人或者其他权利人对布图设计所享有的权利,具体来说,就是指国家依据有关集成电路的法律规定,对于符合一定手续和条件的布图设计,授予其创作人或其他人在一定期间内对布图设计进行复制和商业利用的权利。布图设计专有权作为一种独立的知识产权,既不属于专利权,也不属于著作权。而且,布图设计专有权是以布图设计为权利客体的,权利人对与布图设计有关的集成电路或其中所含的信息并不享有权利。

2、要素

布图设计专有权的要素包括三个,即布图设计专有权的主体、客体和内容。

(1)布图设计专有权的主体。

布图设计专有权的主体,即布图设计权利人,是指依照集成电路布图设计保护法的规定,对布图设计享有专有权的自然人、法人或其他组织。根据我国《集成电路布图设计保护条例》的规定,能够享有布图设计专有权的人主要有以下几类:

①布图设计创作者或合作创作者

布图设计的创作者或合作创作者即以自己的智力劳动单独或共同完成布图设计的人。由于布图设计的各个部分是密不可分的,具有整体性,缺少任何一部分布图设计都将无法完成预先希望达到的功能,因此,由多人共同创作完成的布图设计其权利只能作为一个整体由各创作人共同享有,即使各创作人所创作的部分能够与他人的部分相区分,他也不可能就这一部分设计单独享有权利。但是法律允许合作者就布图设计专有权的归属作出约定。

②主持创作布图设计的法人或组织

根据我国《集成电路布图设计保护条例》第9条第二款的规定:“由法人或者其他组织主持,依据法人或者其他组织的意志而创作,并由法人或者其他组织承担责任的布图设计,该法人或者其他组织是创作者。”由法人或组织主持创作的布图设计类似于版权法中的职务作品,其权利不由直接完成创作的人享有而由有关的单位享有。

③经约定可以享有权利的委托人

对于委托创作布图设计的情形,我国的规定是:“受委托创作的布图设计,其专有权的归属由委托人和受托人双方约定,未作约定或者约定不明的其专有权由受托人享有。”所以因受委托而完成的布图设计的专有权归属,首先依委托人与受托人的约定,双方未约定或约定不明的,由受托人也就是直接完成创作行为的人享有布图设计专有权。

④以上主体的权利继受人

布图设计权利人是自然人的,自然死亡之后,其专有权在法律规定的保护期内可依照继承法的规定转移。布图设计专有权属于法人或者其他组织的,法人或者其他组织变更、终止后,其专有权在法律规定的保护期内由承继其权利、义务的法人或者其他组织享有,没有承继其权利、义务的法人或者其他组织的,则布图设计进入公有领域。

另外,我国法律还规定外国人创作的布图设计首先在中国境内投入商业利用的,依照我国的法律可享有布图设计专有权。外国人创作的布图设计其他作者所属国同中国签订有关布图设计保护协议或与中国共同参加有关布图设计保护的国际条约的,也可依我国法享有布图设计专有权。

(2)布图设计专有权的客体。

《集成电路布图设计保护条例》中规定,布图设计专有权的客体是具有独创性的布图设计。这一规定与《WIPO条约》①中的规定是一致的,我国已是该条约的正式签字国。布图设计的独创性是指该布图设计是创作者自己的智力劳动成果,并且在其创作时该布图设计在布图设计创作者和集成电路制造者中不是公认的常规设计。但如由常规设计组成的布图设计,其组合作为整体符合前述条件的,也是受到保护的客体。这一规定是为保护集成电路进一步发展而作的特别规定。我国法对布图设计的保护,不延及思想、处理过程、操作方法或者数学概念等。具体来说,一项布图设计要取得专有权,必须具备以下的条件:

①实质要件:申请保护的布图设计必须具有原创性。

具有原创性包括两层含义,一是指该布图设计必须是创作人自己智力劳动的成果,而非简单复制他人的布图设计。二是指该布图设计应具备一定的先进性,即它在创作完成时不能是当时集成电路产业中常用的,显而易见的或为人所熟知的。

对原创性的规定,大多数国家都大致相同,《WIPO条约》中对此亦作出了详细的规定,我国作为集成电路技术较为落后的发展中国家,作出这样的规定有利于鼓励有关技术人员的积极性和主动性,以促进集成电路产业的发展。

②形式要件:即取得保护的布图设计在形式上必须具备的条件。

我国以登记作为布图设计取得权利保护的形式要件。

我国已规定了一套类似计算机软件版权登记的布图设计权登记制度。如果不进行登记,权利人将很难证明其布图设计在创作完成时是非显而易见的,因为布图设计的发展十分迅速,等到侵权纠纷出现时,举证已相当困难,建立一套登记制度即可在很大程度上解决这一难题。

(3)布图设计专有权的内容

布图设计专有权的内容即指布图设计专有权的具体权能。根据《集成电路布图设计保护条例》的规定,我国的布图设计专有权的权能主要包括:

①复制权,即权利人有权通过光学的、电子学的方式或其他方式来复制其受保护的布图设计或者含有该布图设计的集成电路。这种复制(reproduce)与版权法中的复制(copy)是不同的,它必须通过特殊的方法实现,实际上是一种重新制作。所以,我国《条例》中明确规定:“复制,是指重复制作布图设计或者含有该布图设计的集成电路的行为。”

②商业利用权,即布图设计权人享有的将受保护布图设计以及含有该受保护的布图设计的集成电路或含此种集成电路的产品进行商业利用的权利。各国立法对此权利内容的规定不完全相同,但一般都包括出售权、出租权、展览陈列权以及为商业目的或其他方式的利用而进口的权利等。我国法所规定的商业利用,是指为商业目的进口、销售或者以其他方式提供受保护的布图设计,含有该布图设计的集成电路或者含有该集成电路的物品的行为。

值得注意的是,从各国现有的集成电路法规定看,布图设计权均不包括任何精神权利,且布图设计权不影响权利人根据其他法律而对布图设计所享有的权利。

(二)布图设计专有权的权利限制

作为一种知识产权,和专利权及版权一样,布图设计权的行使也存在一定的限制。从各国立法的情况来看,对布图设计权利的限制主要有以下几种:

1.合理使用。这与版权中的合理使用相类似,主要包括为个人目的而复制或利用和为教学研究而复制或利用。

2.合理的反向工程。反向工程是现代集成电路工业发展的主要手段之一,但是反向工程也具有一定的特殊性,因为在复制他人布图设计时也可能会用到反向工程的技术,以科学研究为目的的反向工程是合法的,而单纯为获取他人布图设计而进行的反向工程则是非法的,这又涉及到一个侵权认定的问题。

3.权利穷竭。布图设计权人或经其受权的人将受保护的布图设计或含有该布图设计的集成电路产品投入市场以后,对与该布图设计或该集成电路产品有关的任何商业利用行为,不再享有权利。

4.善意买主。即基于善意,不知道有关半导体芯片产品的保护的存在而购买了该半导体芯片产品的人。这些人的行为是不能构成布图设计侵权的。

5.强制许可。即在一定条件下,一国政府可以不经布图设计权利人的同意强制作可他人或有关的组织使用其布图设计。这一做法主要由一些发展中国家采用,一些发达国家如美国对此持反对意见。《WIPO条约》对强制许可采取了肯定的态度,允许缔约各国根据自己实际情况在法律上规定强制许可制度。

根据我国《集成电路布图设计条例》第四章的规定,我国对布图设计专有权行使的限制主要体现在以下这几个方面:

(1)为个人目的或者单纯为评价、分析、研究、教学等目的而复制受保护的布图设计的。

(2)在依据前项评价、分析受保护的布图设计的基础上,创作出具有独创性的布图设计的。

(3)对自己独立创作的与他人相同的布图设计进行复制或者将其投入商业利用的。

(4)受保护的布图设计、含有该布图设计的集成电路或者含有该集成电路的物品,由布图设计权利人或者经其许可投放市场后,他人再次商业利用的。

(5)在国家出现紧急状态或者非常情况时,或者为了公共利益的目的,或者经人民法院、不正当竞争行为监督检查部门依法认定布图设计权利人有不正当竞争行为而需要给予补救时,国务院知识产权行政部门可以给予使用其布图设计的非自愿许可。但是取得非自愿许可的自然人,法人或其他组织应向布图设计权利人支付合理的报酬,其数额由双方协商;双方不能达成协议的,由国务院知识产权行政部门裁决。

由以上这些规定可以看出,我国基本上采用了与《WIPO条约》相似的规定,这有利于我国的集成电路布图设计与国际法律规定的接轨。

(三)布图设计侵权及其认定

所谓布图设计侵权,即指侵犯了布图设计权利人的权利,依法应承担法律责任的行为。它主要包括非法复制与非法进行商业利用两种。其中非法进行商业利用的行为比较容易认定,也易取证,但对非法复制的认定却存在一定的难度。非法复制主要有两种:

1.完全复制,即将原布图设计原封不动照搬下来。这种情况比较好认定,因为开发一种布图设计是一项艰巨复杂的脑力劳动,两个相互独立的开发人在互不接触的情况下独立开发的两种功能相同的布图设计,虽然在电路原理上有可能相似,但是表现在掩膜版上的具体元件布置、连线等布局完全一模一样的可能性几乎为零,因此在实践中如发现两种完全一样的布图设计,那么必定是后一布图设计人复制了前一设计人的布图设计,举证责任主要集中在开发时间先后上,如果规定有布图设计的登记制度,这就比较容易认定。

2.部分复制,即仿制,这是目前存在的布图设计侵权行为中占比重最大,而且也是最难认定的一种侵权行为。它之所以难以认定的主要原因是布图设计中有许多共同遵循的基本电路原理和技术原则,再加上新旧布图设计之间需有兼容性的要求,在功能相类似的布图设计中不可避免地会有一些相同或相似的地方。因此许多布图设计侵权人在获取他人布图设计作品以后,将一些无关紧要的元件位置作一定的改动,在电路设计连线上再作一些调整,就会使新的布局与原有的布局很不相同,尤其在制作成集成电路产品以后,肉眼无法观察,必须借助机器进行复杂的技术处理后才能认定,这就更增加了对此种侵权行为认定的难度。

笔者认为,在认定这种侵权行为时必须抓住两个关键:一是两种布图设计是否实质相似,二是另一布图设计创作人是否曾接触过受保护的布图设计。确定了这两点,侵权的判定即可成立。对是否实质相似的认定,主要可从两个方面着手:(1)从设计组成上看,首先在量上确定,两种布图设计相同的部分有多少,占全部布图设计的比重有多大,一般而言,相同的越多,是复制的可能性就越大,另外在质上可考察相同的部分在整个设计中所起的作用是否相同,如果起的都是核心的作用,那么就很容易构成实质相似。(2)从功能上看,两种布图设计的功能是否相同是二者是否实质相似的根本要件,如果两种功能完全不同的布图设计,即使其元件布局、线路布置绝大部分相同,也不可能构成实质相似。抓住了这两个关键,对于仿制的侵权认定就相对容易了。

总之,我国的《集成电路布图设计条例》已初步建立了我国的集成电路布图设计的知识产权保护理论体系,对布图设计侵权作了规定,并且规定了侵权人应当承担的法律责任。但我们仍需要在今后的布图设计权利保护实践中进一步改善我国的保护制度,使布图设计专有权的保护更全面更完善,从而促进我国集成电路产业的进一步发展。

[注   释]:

①即《关于集成电路的知识产权条约》(Treaty on the Intellectual Property in Respect of Integrated Circuits),是世界知识产权组织(WIPO)于1989年5月在华盛顿通过的一部国际条约,简称《WIPO条约》。现在已在这个条约上签字的国家有埃及、加纳、利比里亚、危地马拉、南斯拉夫、赞比亚、印度和中国等。

[参考书目]

1. 郑成思著《计算机软件与数据的法律保护》,法律出版社,1987版。

2. 郑成思著,《信息、新兴技术与知识产权》,中国人大出版社,1986版。

3. 英明初著,《计算机软件的版权保护》,北京大学出版社,1991年8月版。

4. 唐光良、董炳和、刘广三著,《计算机法》,中国社会科学出版社,1993年11月版。

5. 刘江彬著,《计算机法律概论》,北京大学出版社,1992年7月版。

6. 赵震江主编,《科技法学》,北京大学出版社,1991版。