数字逻辑电路十篇

时间:2023-04-05 18:45:52

数字逻辑电路

数字逻辑电路篇1

关键词:教学改革;实验;数字逻辑电路;计算机专业

中图分类号:G642 文献标识码:A 文章编号:1009-3044(2013)29-6570-02

数字逻辑电路实验课程是电气、电子信息类和部分非电类专业本科生在电子技术方面入门性质的技术课。它在电类专业中深受青睐,但在非电类专业中的教学没引起足够的重视。长期以来,在我校计算机专业类数字逻辑电路实验的实验教学中,出现实验教师难教学生厌学的现象。我们从学生学习该课程的现状着手,通过对该课程的先导课程及后续课程进行调查分析,了解相关理论课学习的状态,并据此提出了相应的实验教学改革措施,分三个阶段对学生的学习能力及动手能力进行培养,我们称之为数字逻辑电路实验课程“过三关”[1]。

1 数字逻辑电路实验的教学改革思路

数字逻辑电路实验在计算机类专业都把它作为一门主干必修课程,但相比专业课来说,非电类专业对该课程地位认识和重视程度是不一样的,普遍存在的一种现象是“重软件轻硬件”[2]。我校计科专业、网工专业的“数字逻辑电路实验”课,安排在第三学期,并具有第二学期的“模拟电子技术”课程的基础。而软工专业的“数电”课安排在第二学期,并没有提前开设“模电”课程,缺乏电路知识的先导。在总课时数压缩的情况下,由于理论课和实验课安排在同一学期,并在第一周同时开课,实验课严重滞后于理论课的进度,造成学生想要学好又觉得心有余而力不足[3]。

第一关:克服对数字电路实验课的心理恐惧关

对计算机专业的学生来说,模拟电子技术和数字逻辑电路都很难学,更难于精。适合计算机专业的专用教材很少,更没有比较适合的实验教材。不得已沿用电类专业的教材,理论偏多偏深。单纯的数字逻辑分析抽象、枯燥、乏味,遇到复杂的逻辑现象更容易让人感到无从下手,产生畏难情绪。例如:教材[4][5]的第二章逻辑门电路,是学生们共同认为最难于理解、头疼困难的内容。在讲解TTL(Transistor-Transistor Logic)基本逻辑门涉及到很多的电路基础知识、基本电路元件(电阻、二极管、三极管等元件)、电路及结构、半导体工艺、以及它们的电流、电压、元件参数等内部电气参数的计算等。对电路原理的理解和对电子元器件认识存在困难。然而,计算机专业学习的重点并不在这些电路的内部原理和前端设计,实验所必需的电路基础知识在课程中的应用暂时不用十分深入,可以不用刻意去理解逻辑器件的内部结构。重点应放在:一是掌握器件输入和输出之间的逻辑功能;二是外部的电气特性其主要参数。相应的基本门电路实验,目的包括掌握TTL基本逻辑门的逻辑功能验证与参数测试;掌握TTL器件的使用规则;进一步熟悉数字逻辑电路实验装置的结构、基本功能和使用方法。“轻里重外”,将集成电路视为“黑匣子”,这样电路基础知识不再构成计算机专业的学生学习的障碍。

在实验教学中,改善实验条件,增强实验教学的趣味性。让生活走进实验、贴近生活。理论实验化,实验生活化。例如: 逻辑门实验是认识数字电路的基本实验,电子门铃的原理就是利用与非门构成振荡器,使输出端的铃声信号输出,从而驱动喇叭发出闹铃声的。除此之外,实验还能进行趣味游戏如乒乓球游戏机等的设计。通过增加实验内容、改变实验方法,多做实验来改变学生怕做实验的恐惧心理。

根据现在的理论课学时、教学计划和实验设备,改编有关内容。以“与非门”逻辑为例说明改革实验教学方法。采用先理论讲解,以逻辑代数为基本数学工具,从基本逻辑门电路入手。实验使用传统标准数字逻辑器件四2输入与非门74LS00,,用它构成传统的与非门验证实验。再用硬件描述语言VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)[6]和复杂可编程逻辑器件CPLD(Complex Programmable Logic Device) [7]实验实现“与非门”逻辑。这样就建立了同一实际逻辑问题用多种不同层次方法进行实验的模式:数字逻辑单元理论设计,以门电路为基本单元电路构成各种组合逻辑电路和时序逻辑电路,使用标准数字逻辑器件中的中(MSI)、小规模(SSI)的TTL集成电路验证;利用通用集成电路模块产品,主要是用中(MSI)大规模(LSI)集成电路模块,构成预定功能的逻辑电路;再用VHDL和CPLD构成复杂的电路系统,步步推进,穿行融合。

第二关:培养动手能力关

从数字逻辑电路实验课程的知识结构和特点分析,数字逻辑电路实验主要由基本逻辑门电路,由门电路组成的基本组合逻辑电路和时序逻辑电路及通用集成电路模块构成。

在第一阶段为数字逻辑电路基础实验(芯片级实验)。由“一门而入”,选用传统典型标准数字逻辑器件与非门,进行基本门电路逻辑功能测试与验证,通过实验使学生熟练掌握数字电路实验箱的结构和使用方法,使用示波器记录描述逻辑功能的波形图,实验基本仪器测试集成电路外部电气特性参数。掌握用与非门组成其它逻辑门及逻辑门之间的互换、解决不同门电路之间相互连接匹配问题。对集成门电路外形建立感性认识,熟悉芯片的外形封装、芯片的引脚数量和分布情况。通过基础实验,训练了学生的数字逻辑设计的基本功,为综合设计性实验打下良好的基础。

第二阶段为综合设计实验(单元级实验)。主要有基本技能测试性综合实验、组合电路设计性综合实验、时序电路设计性综合实验、存储器和D/A或A/D转换电路的综合实验。

综合设计性实验主要是小系统逻辑设计实验[8],每一个实验系统可以由多片标准数字逻辑器件MSI、MSI的门电路组成。也可以用通用集成电路中的MSI、LSI的TTL集成电路芯片组成。实验者可根据自己的设计做出不同种类的电路,培养对单元功能电路的理解和灵活运用能力。例在传统数字逻辑电路实验中,最为经典的例子是“三人表决器实验电路的设计” [9]。其中SSI门电路设计最为灵活,可以选择一种与非门构成“与非-与非式”、一种或非门构成“或非-或非式”、与非门+或非门构成“与或非式”。也可以采用通用集成电路模块译码器、数据选择器和加法器分别设计多种三人表决器实验电路。

第三关:VHDL及CPLD实验提高复杂电路设计能力关

从第一、第二阶段实验的效果来看,这些实验是在掌握SSI、MSI电路分析和设计的基础上进行,达到预定的逻辑功能。这种方法设计的逻辑系统规模不宜太大,否则,系统需要很多芯片,连接线和接点复杂,导致可靠性下降、功耗增加,系统占用空间扩大。为此,可以采用大规模集成和超大规模集成技术,把完成复杂功能的众多芯片集成到一个芯片内。可以克服上述问题。这种能够完成特定功能的集成电路芯片称之为专用集成电路。用VHDL语言设计后,在CPLD中实现,这已经成为数字系统设计的主流。

将新技术和新型电路设计的方法充实到教学中去,以体现实验与时俱进的先进性。第三阶段的可编程器件的应用与可编程电路的EDA设计实验(系统级实验),要求学生用CPLD芯片重现第一阶段的基础实验和第二阶段综合设计性实验中的电路设计。训练学生通过阅读资料掌握可编程器件的功能及规范的使用方法。掌握EDA软件的使用方法和设计语言。最终达到“了解一种器件,熟练使用一种设计工具,掌握一门设计语言,能够设计较复杂的数字系统”的目的。

通过三个不同阶段的实验过程,将一种数字逻辑器件的基础理论,用传统器件实验验证或实现,再用VHDL及CPLD实验复现,三者融合循环,螺旋式上升。实现数字逻辑电路实验的教学改革,帮助学生突破在学习道路上的三道难关。

2 结论

侯建军教授提出了“厚理博术,知行相成”的教育理念。通过数字逻辑电路实验,既要加强知识的学习,又要践行所学的知识,提高实践动手能力和创新能力。根据学生的特点确定教学目标,组织教学内容,制定教学方法,以学生为主体,“教法”适应“学法”培养学生的学习兴趣。倡导以启发、探索和创新性实验为核心的研究式学习方式,鼓励学生参与部级和校级的大学生创新创业项目,并参加各种国家电子技能大赛,取得很好的效果。

参考文献:

[1] .“模拟电子线路”的“过三关”——谈“模拟电子线路”教与学[J].电气电子教学学报,2002(11).

[2] 杨汉祥.数字电路课程交叉知识的教学研讨与实践[J].赣南师范学院学报,2005(6).

[3] 管冰蕾,胡家芬.计算机专业《数字逻辑》课程教学改革的研究[J].时代教育:教育教学版,2009(3).

[4] 侯建军.数字电子技术基础[M].2版.北京:高等教育出版社,2009.

[5] 侯建军.电子技术基础实验综合设计实验与课程设计[M].北京:高等教育出版社,2009.

[6] Volnei A Pedroni.VHDL数字电路设计教程[M].北京:电子工业出版社,2013.

[7] 王诚,赵延宾,梁成志.Lattice FPGA/CPLD设计(基础篇)[M].北京:人民邮电出版社,2011.

数字逻辑电路篇2

关键词:数字逻辑电路;立体化实验教材;实验报告

0 引言

教育部《关于全面提高高等教育质量的若干意见》的第八条明确提出强化实践育人环节。制订加强高校实践育人工作的办法,强化实践教学环节,结合专业的特点和人才培养要求,分类制订实践教学标准,增加实践教学比重,确保各类专业实践教学必要的学分(学时),配齐配强实验室人员,组织编写一批优秀实验教材,提升实验教学水平。“十二五”以来,这些有关加强实验教学改革文件的出台,表明深化实践教学方法改革,加强学生实践能力和创新能力的培养,已经到了刻不容缓的地步。教育部指出,要把加强实践教学方法和人才培养模式改革作为专业建设的重要内容。加强实践教学,实验教材改革是改革教学内容和教学方法的关键一环,实验教材质量的好坏、水平的高低直接影响到学生的培养质量。

1 目前实验教材存在的问题

目前,我国高校实验教材整体上没有摆脱依附并服务于课程的格局,而且以单一的纸质实验教材为主,其他形式的实验资源比较匮乏,传统教材能满足系统化实验教学的需要,但在培养学生创新能力和实践能力方面存在明显的不足。近年来,很多高校对传统实验项目和内容进行了一系列改革,减少了验证性实验项目,增加了综合性、研究性和设计性实验项目,但仍然没有脱离课程体系,只是传统实验项目的修改与增删,还是按照原有的知识体系,配合理论教学而开展的辅实验教学活动,其目的仍然是为了强化理论教学,帮助学生巩固和掌握理论知识,没有把学习方法作为实验教学的重点加以重视。在实验教材的内容编排上还是按照实验目的与原理,操作步骤与方法这一传统教材的样式,并没有从引导、启发的角度进行组织,一些学校自己印刷的实验指导书,离正式出版还有一定的距离,也没有其他数字化的辅导性材料。总之,现在的实验教材,按照粗放而统一的要求,让学生“按方抓药”式地进行验证,学生的自主学习能力和创新能力得不到锻炼。

“十二五”以来,深化实践教学改革,加强学生实践能力和创新能力的培养,实验教材的建设已引起各高校的重视。同时,科技的发展与知识的更新也促进了实验教学设备的改进,也促进实验教材的改进。因此,在实验教材的建设上,针对上述问题,必须按照学生的能力体系来构建实验教学体系,把信息技术与实验教学内容进行有效整合作为一个重要任务来抓,在写好传统纸质教材的同时,建设适合开放教学和创新能力培养要求的多媒体实验教学资源。

2 数字逻辑电路立体化实验教材建设

学习最终还是要归本的,教材是学生学习的主要参考书和理论依据,立体化实验教材主要包括实验教程(主教材)、实验报告、多媒体课件以及其他与实验有关的实验教学资源,立体化教材提供了一种综合性的教学资源,加快了实验教学内容、教学体系和教学方法及手段的改革,优化实验教学过程,推动创新教育模式,教材质量的高低会对教学效率以及学生学习水平产生直接的影响。数字逻辑电路的实验内容具有一定的独立性,实验项目的设置、实验软件的使用、仪器设备、实验开发语言等在理论课中很少涉及,因此,开发数字逻辑电路的立体化实验教材具有可行性。笔者将从实验教材的编写思路、实验内容编排、实验报告规范、数字化的实验资源等4个方面来探讨数字逻辑电路立体化实验教材建设。

2.1 实验教材的编写思路

为了配合开展实践教学及适应教学改革的需要,提高实验教学质量,要不断改革实践教学内容和教学方法,高质量的实验教材是关键,为此我们组织技术骨干编写实验教材。在数字逻辑电路立体化实验教材的建设上,除了介绍实验的基础理论知识外,坚持“以高水平的实验吸引人、以数字化的资源方便人”为宗旨,以提高学生实际动手能力和工程设计能力为目的,尊重学生的个性差异和工程素养差异,精心选择实验教学内容。

2.2 实验教材的内容编排

在实验大纲允许的范围内,我们采用的方法是“给通才定规则,给天才留空间”,在实验教材的编写过程中,在大纲规定的基本要求的基础上,精心选择了新颖、有趣,实用性、可行性较强,有助于培养创新型人才的15个不同难度的基础实验和5个典型的综合性、设计性实验,可供不同专业、不同层次、不同学时数的学生使用,这些实验基本包含了本专业课程标准要求的相关知识点,帮助学生将教材上的分立知识点有机地、系统地联系起来,培养综合分析、设计、制作和调试的能力。设计的每个实验项目都具有一定的层次性,即同样的实验项目,可以设计的简单些,也可以设计的复杂些,分层次对学生提出要求,对能力差的学生,引导其如何将理论与实际结合起来,把设计思想弄清楚,使其有能力完成实验的基本要求;对能力强的学生提出更高要求,采用启发式的方法,充分发挥学生的主体作用,鼓励他们根据自己的能力,提出多种设计方案,体现教学内容的可伸缩性和开放性。

实验预习是提高实验效果的一个重要环节,只有预习充分,实验操作时才会做到思路清晰。实验预习质量的高低取决于实验教材的质量。为此,在编写实验教材时,对每个实验项目除了常见的实验目的、实验原理、实验内容、实验步骤外,对实验预习、实验思考与提高等也提出明确要求。在预习要求中明确了实验预习必须完成的任务,要求学生理解每一个实验的实验原理、编写或完善实验代码等强制性的任务,从而增加了学生对实验原理、开发语言、实验代码的理解。在实验过程中按照实验步骤完成实验要求,在完成实验的基本要求后,通过实验思考与提高来提高学生的分析与设计能力。例如,在进行7人表决器电路的设计时,设计方法很多,可以使用原理图方法实现,还可以使用VHDL语言实现,而使用VHDL语言可以使用行为描述、结构描述、数据流描述3种方法。在实验思考与提高中,要求学生比较各种实现方法的区别,可以对实验提出更高的要求,如要求将表决结果在数码管显示出来、7个人中有一个人具有否决权、限制表决时间等提高要求,要求学生修改代码实现相应功能,从而加深对组合逻辑电路设计的理解和硬件描述语言描述组合逻辑电路的方法。

2.3 实验报告格式的规范

“问题式”教学或“任务式”教学是经常采用的有效的教学方式,有利于学生明确学习目的,能够激发学生的学习积极性,改善以往被迫学习的方式,变被动为主动,增强实践动手能力。计算机科学是一门实践性很强的学科,强调的是“做中学”,就是指在完成实验的过程去学习,在完成报告中去加深理解。实验报告是实验过程的记录、实验现象的分析和实验的总结,书写实验报告是实验教学的重要环节。

在数字逻辑电路实验中,我们规范了实验报告内容和要求,并单独印刷成册,在实验报告的设计上,改变以往使用统一的实验报告纸的形式,实验报告中不需要学生抄写实验目的、实验原理等内容,而是将实验内容和要求设计成各种不同的任务,按照实验步骤来完成这些任务时就完成了实验报告。在报告中,只需写出实验代码的关键部分,调试实验代码、画出实验的仿真波形、写出设计中的管脚分配关系,使用测试数据进行实验验证、分析实验现象、将实验过程中出现的问题进行实验总结,回答实验思考题,从而加深对实验原理的理解,提高设计能力。通过书写实验报告,培养了学生认真做好实验,仔细观察实验过程中所发生的现象,有利于加强对理论知识的理解和记忆,促使学生重视基本技能的学习及应用。一份高质量的实验报告应能反映出学生的综合素质,如高度的注意力、敏锐的观察力、丰富的想象力、准确的判断力、科学的思维能力和正确的表示能力。

2.4 开发丰富的数字化实验资源,构建网络化的实验教学辅助平台

数字化实验教学资源是立体化实验教材的重要组成部分,可以解决目前实验教学资源相对单一的问题,在进行实验教材建设时,利用网络技术开发数字化实验教学资源,构建网络化的实验教学平台。在数字化实验教学资源建设时,要避免实验教材的电子化,开发能满足创新人才培养、适应开放式实验教学要求的多媒体实验资源,使学生能借助校园网络环境,利用数字化资源进行实验前预习、讨论,甚至自行提出实验方案,开展实验后总结和实验数据分析。网络化的实验教学辅助平台,主要包含实验仪器的使用、开发软件的使用,实验中常见的问题及解决办法,让学生借助辅助平台解决实验过程中出现的问题,这样减轻了教师的指导工作,同时也为开放实验教学提供条件。学生通过对数字化实验教学资源的有效利用,革新了传统“按部就班”式的实验教学模式,激发学生的主观能动性,调动师生积极参与实践活动,在实验中提高了学生分析问题、解决问题的能力,培养其创新意识和创新能力。

3 结语

立体化实验教材建设实现了实验教学信息化、网络化。整合实验教学资源,优化实验教学要素的配置,是一种新型的整体实验教学解决方案,不仅充分考虑到任课教师的教学需要和学生的学习要求,还能促进教学改革的不断深入,促进教学水平的不断进步和教学质量的不断提高。数字逻辑电路立体化实验教材的纸质教材、实验报告已使用了两年,电子课件以及数字化实验资源及辅助实验平台也在实践中得到完善,满足了个性化、层次化、开放性实验教学的要求,有利于大学生自主学习能力和创新能力的培养,也为培养适应信息化社会学习、生活和工作的高素质人才奠定坚实的基础。目前《数字逻辑电路设计实验教程》已作为高等院校实验与实践系列示范教材在清华大学出版社出版,对计算机硬件课程的实验教材建设具有一定的示范作用。

参考文献:

[1]刘洪婧,朱艳辉,侯俐,等.计算机实践教学多元化环境建设与研究[J].计算机教育,2013(2):33-36.

[2]玄金红,盖彦荣.浅谈实验教学中实验教材的意义[J].新课程研究,2008(5):118-119.

[3]何唐梅.论立体化实验教材建设[J].科技信息,2008(5):172.

[4]王永会,高智琛.高校实验教材建设[J].中国教育装备,2012(13):55-56.

[5]曹海平.实验课程群的立体化教材建设[J].实验室研究与探索,2011,30(5):104-106.

[6]田淑珍,全成斌,李山山.数字逻辑电路实验课的教学改革探索[J].实验技术与管理,2010,27(11):169-171.

[7]高智琛,王永会.浅谈高校实验教材的建设[J].实验室科学,2012,15(4):203-205.

[8]孙丽华,刘庆瑞,赵静,等.电力工程课程立体化教材建设[J].电气电子教学学报,2007,29(4):43-44.

[9]戚梅,东野长磊.构建计算机硬件实验教学体系[J].实验室研究与探索,2009(9):131-132.

[10]毕效辉,于春梅.高融合立体化教材及其建设实践[C]∥湖南工业大学法学院.第三届教学管理与课程建设学术会议论文集,2012:148-150.

[11]刘伦.开放式计算机实验教学改革研究[J].计算机教育,2013(2):100-102.

数字逻辑电路篇3

论文关键词:数字电路与逻辑设计,教学模式,教学方法,实践教学

一、三本院校课程教学现状

三本学生中多才多艺的较多,平时开展各种社团活动比较频繁,学生自主创新思维活跃,但能够有条不紊自主学习的学生可能只有一少部分,许多学生对学习没有兴趣,课余时间几乎不学习。在教学过程中,刚开始学生还可以接受一些新知识,但随着教学的深入,学习难度的增大,学生感到了困难,随之学习的兴趣也越来越低,主动学习便是一句空话,学生也就是为了应付考试,甚至不少学生都是考前突击。这一特点在《数字电路与逻辑设计》课程的教学中也同样存在。要提高本课程的教学质量,我们在定位教学目标,设置教学内容,采用教学手段和方法的时候都必须以这一实际情况为前提。

二、教学理念,教育目标

三本教学有别于一本和二本,教学注重于学生应用能力和综合素质的培养,教学过程中突出培养学生应用知识,分析解决实际问题的能力,以学生为主体,以教师为主导,以教学为主线,树立能力培养目标为重中之重的思想,实现人才培养模式多元化,努力培养“宽口径、厚基础、强能力、高素质”,适应国际竞争和社会需求的应用型人才。三本教育要加强通识教育,注重文理渗透理工结合,体现本科教育的基础性和可发展性。努力探索人才培养新举措,深入推进人才培养模式改革,实现多元化人才培养新格局,大力实施“育人为本,全面发展”的人才培养战略,拓宽基础学科的范围和基础教学的内涵。

三、教材选取

考虑到三本学生理论基础较差,教材选取不应选择理论研究或理论推导比较复杂的教

材,否则会让学生还未涉及到重要的知识点就已经因为难度过大而丧失信心。教材选取要以应用为宗旨,强调理论与实践相结合。编写原则遵循由浅入深,通俗易懂,重点和难点采取阐述与比喻相结合,例题与习题相结合,实例与实验相结合,针对数字电路课程实践性强的特点,增加了与教材相应的实践环节教学内容。

四、教学内容

在三本的《数字电路与逻辑设计》教学中,应该注重基础教学,要求学生熟悉布尔代数的基本定律,掌握卡诺图与公式化简法;掌握数字电路中常用的基本单元电路和典型电路构成、原理与应用;掌握常用的中小规模组合逻辑电路和集成电路功能和设计方法。具有查阅集成电路器件手册,合理选用集成电路器件的能力。对集成芯片,重点分析电路的外特性和逻辑功,以一些典型集成电路为例介绍如何查阅集成电路手册、资料等,使学生学会在实际应用中正确选择和使用集成芯片[11]。

对于三本学生而言,在电路设计中要求学生掌握基本的设计方法,但可以适当降低对电路设计的要求,增强电路分析方法的教学。学生可以分析较复杂的电路,并且能够利用已有的电路进行修改,使电路满足自己设计的需要。

五、教学手段与教学方法

(一)采用现代化教学

《数字电路与逻辑设计》课程的特点就是电路图、逻辑图特别多,如果采用板书形式教学,既浪费课堂时间也达不到好的教学效果。教学过程中采用多媒体教学,可以使一些抽象的、难以解决的概念变得形象,易于学生接受。对于集成电路的分析和设计,为了增强演示效果,除了在PPT中添加更多的动画效果外,还可以采用Flash或Authorware软件制作动画效果,使电路的变化过程一目了然。

(二)结合实际教学

在授课过程中,针对三本学生可以结合生活中的应用举例,如目前LCD显示、数字温度计、十字路口交通灯控制、数字频率计、多媒体PC机里的显示卡、声卡是用数电中的数/模(D/A)转换实现图像显示和声音播放、制造业中的数控机床等都应用了数电技术。通过这些实例的介绍,可以使学生真正了解数字电路课程的重要性,从而提高对数字电路学习的兴趣和学习积极性。

(三)网络教学

网络教学可有两种方式,一是上传教师课堂教学过程的视频到校园网;二是教师制作图文并茂的课件,以及与该课程有紧密关系的资料一起上传到网上。目前大部分三本学生宿舍都可以登录校园网,学生可以在任何时间进行网络教学。网络教学的方式解决了学生传统的看书自学枯燥无味的问题。

六、实践教学

实践教学一般分为基础实验和课程设计两大部分。基础实验教学从属于理论教学,实验内容均为验证性实验。教师给出实验步骤、电路图,学生按部就班、验证结果,通过基础实验,使得学生对于课堂所学基本概念和方法的理解和掌握更加透彻,同时培养学生科学实验的精神和方法,训练严格严谨的工作作风。基础实验是理论和实际相互联系的一个重要教学环节,但是仅仅是这种以教师为主导的实验模式,不能激发起学生学习兴趣和积极性,学生仍然不善于综合运用所学知识分析和解决问题。课程设计的目标就是为了加强基础、拓宽知识面、增强学生的自主学习和工程实验能力、发展个性、启发创新、加强理论与实验。学生根据实验任务,自行设计电路和测试方案,增强学生自主学习能力,学生既动脑又动手,解决问题的能力大大提高[12]。

除此之外,还可以设置一些电子设计大赛,成立电子设计兴趣小组,在教师的指导下开展设计性和专题研究性实验,为希望进一步发展的学生提供良好的学习环境和创新研究场所,培养学生的团队协作精神,发挥学生学习的自主性和创造性,极大地提高学生的学习兴趣和动手能力。

七、结束语

随着高等教育的普及,三本学生的数量和质量也在日益增高,同时随着数字技术的广泛

普及,数字化社会已经到来,大规模、超大规模数字集成电路以其低功耗、高速度等特点, 应用越来越广泛。因此如何在有限的时间内使三本的学生扎实掌握数字电路基础知识理论和基本操作技能,培养分析问题、解决问题的能力,是教师在教学过程中需要认真思考的问题。使学生在传统的数字电路逻辑分析、逻辑设计思维训练的基础上进一步建立起现代数字电路的应用与设计思想,掌握现代电子技术的新技术和新器件,为走向实际工作岗位打下坚实的基础。

参考文献

[1] 谢丽.《数字电路与逻辑设计》教学改革实践.吉林省教育学院学报,2012年第02期

[2] 李琰,张翌呖. 数字电路的教学改革与创新.计算机光盘软件与应用,2011年第22期

[3] 李小珉,叶晓慧.深化《数字电路与逻辑设计》课程改革[J].长江大学学报(自科版),2OO4(4)

[4] 田东.数字电路课程设计的改革与探讨.实验技术与管理,2006年05期

[5] 马达灵,张云云.《数字电路》课程教学改革之我见.集宁师专学报,2008年12月第30卷第4期

[6] 邓朝霞.《数字电路》课程整合与优化的改革.广西教育学院学报,2006年第6期

[7] 张丽.高职《数字电路》课程教学方法的探索.读与写(教育教学刊),2010年04期

数字逻辑电路篇4

【关键词】抢答电路;定时电路;报警电路

1 课题研究的相关背景

抢答器在当下各种比赛中是非常受欢迎的一种设备,它可以快速有效的辨别出最先抢答到的选手。在早期,抢答器的组成很简单,只有几个三极管,可控硅和发光管等,辨认哪个选手优先抢到主要是通过发光管来辨别。而现在的抢答器,大部分是利用了单片机或是数字集成电路,并新添了许多功能,比如如选手号码显示、抢按前或抢按后的计时、选手得分显示等功能。

随着科技的发展,现在的抢答器有着数字化,智能化的方向发展,这就必然提高了抢答器的成本。鉴于现在小规模的知识竞赛越来越多,操作简单,经济实用的小型抢答器必将大有市场。因此,我选择简易逻辑数字抢答器这一课题。

2 抢答器的工作原理简介

抢答器的构造,它包括主电路和扩展的电路由两部分组成。主电路完成基本抢答功能,当玩家按下抢答键之后,可以显示参赛者的编号,同时阻止输入的电路,阻止其他选手的回答。扩大的电路测试数字的工作。它的工作原理:启动装置后,主持人将开关拨到到"清除"的状态、抢答器被禁用,编号显示器关闭设置计时器显示的时间;主持人将开关换到“开始”状态,宣布“开始”抢答后。计时器开始倒计时,扬声器发出声音提示。参赛者在一个预定的时间期间在抢答时,抢答器完成:优先判断,编号锁存,编号显示,扬声器提示。一轮抢答之后,定时器停止,此时,禁止二次抢答、定时器显示剩余时间。如果答案必须再次再一次,由主持人,“清除”和“开始”的切换。

3 抢答器的工作过程

如果想调节抢答时间或答题时间,按“加一”键或“减一”键进入调节状态,此时会显示现在设定的抢答时间或回答时间值,如想加一秒按一下“加1s”键,如果想减一秒按一下“减1s”键,时间LED上会显示改变后的时间,调整范围为0~99s, 0s时再减1s会跳到99,99s时再加1s会变到0s。

主持人按“抢答开始”键,会有提示音,并立刻进入抢答倒计时(预设15s抢答时间),如有选手抢答,会有提示音,并会显示其号数并立刻进入回答倒计时(预设10s抢答时间),不进行抢答查询,所以只有第一个按抢答的选手有效。倒数时间到小于5s会每秒响一下提示音。

如倒计时期间,主持人想停止倒计时可以随时按“停止”按键,系统会自动进入准备状态,等待主持人按“抢答开始”进入下次抢答计时。

如果主持人未按“抢答开始”键,而有人按了抢答按键,犯规抢答,LED上不断闪烁FF和犯规号数并响个不,直到按下“停止”键为止。

4 抢答器的总体结构

图1 总体方框图

如图1所示为总体方框图 接通电源后,后台工作人员将检测开?S置“检测”状态,数码管在正常清除下,显示“■”;当后台工作人员将检测开关S置“抢答”状态,主持按系统清除按键,抢答器处于禁止状态,编号显示器灭灯;主持人松开,宣布“开始”,抢答器工作。选手按动抢答按键,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,优先抢答选手的编号一直保持到主持人将系统清除为止。如果再次抢答必须由主持人再次按动系统清除按键。

5 优先判断与编号锁存电路

电路选用优先编码器 74LS148 和锁存器 74LS279 来完成。该电路主要完成两个功能:一是,分辨出选手按键的先后,并锁存优先抢答者的编号;二是,禁止其他选手按键,其按键操作无效。工作过程:系统清除按键按动时,74LS279的四个RS触发器的置0端均为0,使四个触发器均被置0。1Q为0,使74LS148的使能端■=0,74LS148处于允许编码状态,同时1Q为0,使74LS48的灭灯输入端■=0,数码管无显示。这时抢答器处于准备抢答状态。

当系统清除按键松开时,抢答器处于等待状态。当有选手将按键开关按下时,抢答器将接受并显示抢答结果,假设按下的是S4,则74LS148的编码输出为011,此代码送入74LS279锁存后,使4Q3Q2Q=100,亦即74LS148的输入为0100;又74LS148的优先编码标志输出■为0,使1Q=1,即■=1,74LS48处于译码状态,译码的结果显示为“4”。同时1Q=1,使74LS148的■=1,74LS148处于禁止状态,从而封锁了其他按键的输入。此外,当优先抢答者的按键松开再按下时,由于仍为1Q=1,使■=1,74LS148仍处于禁止状态,确保不会接受二次按键时的输入信号,保证了抢答者的优先性。

6 抢答器设计中的优先编码电路

抢答器设计中的优先编码电路完成两个功能:一是,分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是,禁止其他选手按键操作无效。

工作过程如下:

当把开关S放置在‘清除’端时,触发器RS中的■端都为0,4个触发器输出置0,使74LS148的 ■=0,让其在工作状态中。开关S放置在‘开始’时,抢答器则是等待工作状态,如现在选手按下时,74LS148的输出■ ■ ■=010,■=0,经RS锁存后,1Q=1,■=1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为‘5’。另,1Q=1,使74LS148 ■=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的■=1,此时由于仍为1Q=1,使■=1,因此,74LS148还是在禁止的状态中,保证了不会出现二次抢答,也确保了抢答者的优先抢答权。主持人将开关S重新放置在‘清除’位置上,可以进行下一轮的抢答。

( 74LS148为8线-3线优先编码器。)

7 抢答器设计中的定时电路

由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计。本设计是以555构成震荡电路,由74LS192来充当计数器,构成抢答器的倒计时电路。该电路简单,无需用到晶振,芯片都是市场上容易购得的。设计功能完善,能实现直接清零、启动。

8 抢答器的优点及组成

尤其是在知识比赛中做抢答题目时,其过程中,利用视觉判断是很难判断的,所以,需要设计出一个系统来确定哪位选手或者是哪一组选手先抢到的。我们可以利用单片机系统,其精确率哪怕两组之间抢答的时间只差几微秒,也可以判断出来。以上问题(下转第387页)(上接第350页)迎刃而解。

【参考文献】

[1]赵保经,等.中国集成电路大全TTL集成电路分册[M].北京:国防出版社,1985: 429-450,649-651,639-640.

数字逻辑电路篇5

关键词:数字逻辑 课程体系 计算机 构建 教学质量

中图分类号:G642.4 文献标识码:A 文章编号:1673-9795(2014)02(b)-0155-02

在20世纪80年代,内蒙古自治区的高等院校计算机科学与技术专业都相继开设了“数字逻辑”这门课程,至今开设的有《数字逻辑基础》、《数字逻辑设计》、《数字逻辑与数字电路》、《数字逻辑与数字系统》专科及高职是以选修课的形式开设,本科是以必修课的形式开设;讲授的内容也相同,有的则侧重于数字逻辑理论知识的介绍,有的则侧重于数字逻辑实验及电路设计的介绍,有的则兼顾两者。虽然各院校讲授的内容各不相同,但是他们对该课程的性质、地位、作用及重要性都有了一定的认识。由于“数字逻辑”课程已开设二十多年,而且其覆盖的专业门类较多,涉及的学校类型各异,因此各校在进行“数字逻辑”教学时在一些问题上还存在不同的认识,其中的有些问题还需要进一步研究与探索。

1 “数字逻辑”课程的地位及作用

学生对“数字逻辑”课程的掌握程度,将直接影响到其自身以后的学习、工作及其职业发展方向。他是计算机科学与应用技术及相关专业的一门重要课程。

2 “数字逻辑”课程体系的构建

我们在分析和研究部分高等院校“数字逻辑”课程教学实践的基础上,结合民族学院教育的特点,构建了民族学院“数字逻辑”课程的课程体系。

2.1 “数字逻辑”课程概述

“数字逻辑”课程作为高等院校计算机科学与应用技术及相关专业一门重要的课程,其目的是使学生了解和掌握计算机技术的发展历史、现状、未来及研究方法,为学生今后从事相关的技术研究及相关工作奠定基础。

2.2 “数字逻辑”课程性质

适用专业类:计算机科学与技术应用及相关专业。

授课时数:54学时;

实践时数:36学时;

实训时数:10学时;

先修课程:计算机组成原理、逻辑学、数字电子技术、计算机语言(其一)。

2.3 “数字逻辑”课程内容

“数字逻辑”课程体系应由数字逻辑理论知识、实验及实训三大部分组成。

2.3.1 理论知识

通过对理论知识的学习使学生系统了解数字逻辑的发展历史、现状、未来及研究方法,从而全面了解掌握数字逻辑概貌。

从学科特点、学科形态、历史渊源、发展变化及知识组织结构考虑,“数字逻辑”课程理论知识应涵盖以下几方面内容。

(1)数字逻辑基本概念;

(2)数字逻辑发展简史;

(3)数字逻辑硬件技术与软件技术介绍。

具体学时分配如表1所示。

2.3.2 实验

数字逻辑技术和电路设计方法是实验环节需要学生掌握的主要内容。具体内容如下。

(1)TTL集成电路的逻辑功能及参数测试;

(2)集成逻辑门的连接和驱动;

(3)组合逻辑电路的设计-采用小规模集成器件;

(4)数据选择器的应用;

(5)触发器的逻辑功能测试;

(6)计数器及其应用;

(7)移位寄存器及其应用;

(8)555定时器电路及其应用;

(9)计数译码显示电路的设计(如表1)。

2.3.3 实训“自动电子钟”

实训环节的主要目的是训练学生掌握本系统利用8254定时/计数器产生的固定频率的脉冲作为8255可编程芯片的中断信号,来控制数码管的显示及小键盘的按键处理,实现电子钟的计时、按键控制等功能。具体内容如下。

(1)电子钟基本功能的实现;

(2)电子钟按键功能的实验;

(3)显示的实现。

3 结语

该课程体系是在分析和研究部分高等院校“数字逻辑”等课程教学实践基础上构建的,但是由于各院校开设“数字逻辑”、《数字逻辑基础》、《数字逻辑设计》、《数字逻辑与数字电路》、《数字逻辑与数字系统》等课程时间不同,并且各个环节的教学都还处于探索研究的阶段,因此,该课程的合理性、科学性及其实用性还需要我们进一步的检验和不断的完善。

参考文献

[1] 袁东明,史晓东,陈凌霄.现代数字电路与逻辑设计实验教程[M].北京:北京邮电大学出版社,2013.

[2] 李景宏.数字逻辑与数字系统[M].4版.北京:电子工业出版社出版社,2012.

[3] 何建新.数字逻辑设计基础[M].北京:高等教育出版社,2012.

[4] 徐尚中,崔仲远.高校《数字逻辑》课程教学改革的思考与探讨[J].现代计算机:专业版,2010(9).

[5] 陶黄林,帅晓勇.虚拟现实技术在《数字逻辑》实验教学中的应用探究[J].科技经济市场,2007(12).

[6] 孙怀东,饶连周.基于EDA技术的电子技术教学研究[J].机电技术,2011(2):143-146.

[7] 孙建国,武俊鹏,张国印,等.数字逻辑虚拟实验教学研究[J].计算机教育,2009(8).

[8] 胡芳,赵亮方.多媒体在电工电子基础实验教学中的应用[J].中国现代教育装备,2009(5):21-22.

数字逻辑电路篇6

关键词: 数字电子技术 教材改革 工程应用

1.引言

《数字电子技术》是高等学校通信工程、电子信息工程、自动化、电气工程及自动化等专业的重要专业基础课程[1]。随着数字电子技术、数字系统的高速发展,以FPGA (Field Programmable Gate Array)和CPLD(Complex Programmable Logic Device)为代表的大规模可编程逻辑器件(Programmable Logic Device,PLD)的广泛应用,使传统“板上数字系统”被“片上数字系统”替代[2]。为适应数字电子技术的发展趋势,对传统《数字电子技术》教材内容进行了改革,在教材内容的安排和例题选用上,立足于应用型人才培养,以现代信息技术为依托,注重理论联系实际,取得较好的应用效果。

2.教材改革的基本思路

随着数字电子技术的快速发展,如何处理数字电子技术的经典内容与现代内容、传统分析设计方法与现代分析设计方法之间的关系,是教材内容改革的重点。教材以“基础知识器件原理器件应用器件仿真系统构建系统仿真”为主线,构建数字系统的知识框架。在教材内容组织上,将数字电子技术和数字系统有关知识融为一体,系统介绍数字电子技术与数字系统的基本分析方法和设计方法;在教材内容编写上,以培养学生的应用能力和实践能力为目的,采用案例式或项目式编写思路,将理论知识和实际应用相结合,把突出知识的应用性和实践性作为主要方向,做到理论和实践并重,既强调理论基础,又突出应用性。对于集成电路注重逻辑功能和使用方法介绍,增加EDA (Electronic Design Automation)技术基础知识[3],利用Multisim 软件对部分电路进行功能仿真,并介绍VHDL语言、QuartusⅡ软件的基本使用方法,利用VHDL语言设计部分数字电路,利用QuartusⅡ软件进行仿真分析,适应现代电子技术飞速发展和应用的需要。

3.教材的主要特点

3.1 教材内容组织

按照教育部高等学校电子信息科学与电气信息类基础课程教学指导委员会对《数字电子技术基础》课程教学的基本要求,对《数字电子技术》教材内容进行重新组织,将教材内容分为十章[4]。第一章介绍逻辑代数的基础知识,主要包括各种数制、常用的编码规则、逻辑代数的基本定理、逻辑函数的表示方法和化简方法等。第二章介绍EDA技术的基础知识,包括Multisim、VHDL语言、QuartusⅡ的基础知识。第三章介绍分立门电路、集成门电路和可编程逻辑器件的特点,并介绍利用VHDL语言设计门电路的方法。第四章首先介绍组合逻辑电路的基础知识,然后讲解组合逻辑电路的应用,最后利用Multisim对组合逻辑电路进行功能仿真和设计分析,并介绍组合逻辑电路的VHDL语言设计方法。第五章介绍各种触发器的功能和应用,并利用Multisim对触发器进行功能仿真,介绍触发器的VHDL语言设计方法。第六章介绍时序逻辑电路的分析方法和设计方法,介绍常用时序逻辑电路的功能和应用,并分别利用VHDL语言和Multisim进行功能描述和仿真。第七章介绍脉冲波形的产生与整形电路,重点介绍集成电路的应用。第八章介绍半导体存储器的特点和应用。第九章介绍A/D转换和D/A转换的工作原理和主要技术指标,对集成DAC和ADC的基础知识及应用进行简单介绍,并利用Multisim对基本转换电路进行功能仿真。第十章介绍数字系统设计的基本流程,通过3个实例介绍数字系统的不同设计方法。

3.2强调基础理论

随着数字电子技术的发展,数字电子技术已逐渐渗透到各个行业,《数字电子技术》课程作为高校电类专业的基础课程,是学生走向数字化时代的第一门课程,也是某些高校相关专业的考研课程,其重要性不言而喻。教材编写强调《数字电子技术》基础知识的系统性、完整性,将逻辑代数基础、组合逻辑电路分析与设计、时序逻辑电路的分析与设计等基础知识作为教材核心内容,并结合部分高校相关专业《数字电子技术》研究生考试大纲的要求,增加部分教学内容。例如,在第六章“时序逻辑电路”中增加利用观察法和隐含表法进行状态化简的内容,使学生能够更容易掌握时序逻辑电路的传统设计方法。

在教材内容编排上,反复训练基础理论知识,使学生更好地学习并掌握基础理论知识,为进一步学习打下坚实的基础。例如,第四章“组合逻辑电路”首先介绍组合逻辑电路的分析方法和设计方法,然后介绍常用集成组合逻辑电路的原理和应用,其中译码器、数值比较器按照组合逻辑电路的分析方法进行阐述,编码器、数据选择器、加法器按照组合逻辑电路的设计方法阐述,使教材内容循序渐进、深入浅出,适用于学生自学,有利于培养学生自主学习能力。

3.3突出实践应用

在教材编写过程中,注重学生对知识应用能力培养的需要,强调具体操作过程中学习理论基础,将知识应用能力培养贯穿整本教材,突出教材知识的实践应用性。在介绍集成电路时,删除集成电路内部电路的分析,强调集成电路的逻辑功能和使用方法[5],例如,介绍555定时器时,在简单介绍555定时器的电路结构和工作原理的基础上,以“触摸式定时控制开关电路”、“双音门铃电路”等应用电路介绍555定时器的使用方法。

在第九章“数/模和模/数转换器”中,以DAC0808、DAC 0832、AD7543为例介绍常用集成数/模转换器的工作原理和使用方法,并分别给出DAC0832、AD7543与单片机AT89C51的接口电路,既加强与后续课程单片机、微机原理等的联系[6],又突出教材内容的应用性。

3.4增加EDA技术知识

EDA是电子设计自动化(Electronic Design Automation)的缩写,是从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。教材第二章EDA技术基础知识介绍了Multisim和QuartusⅡ两种EDA工具的操作界面和使用方法,并介绍了VHDL语言的基本结构、数据对象、数据结构、操作符和基本语句结构,使学生借助EDA工具进行电路分析和设计。教材给出了74LS138、74LS153、74LS194、74LS160等常用集成电路的Multisim仿真电路和VHDL描述方法,并在第十章“数字系统设计”中,以“计数报警器”、“简易交通灯控制器”、“函数信号发生器”为例,结合Multisim和QuartusⅡ软件,详细介绍简单数字系统的设计过程,丰富教材内容。

4.结语

《数字电子技术》教材改革是一项长期工程,随着数字电子技术的发展,必将对教材内容产生深刻影响。本教材于2012年10月由北京大学出版社作为“21世纪全国本科院校电气信息类创新型应用人才培养规划教材”出版,2013年12月被评为河南省“十二五”普通高等教育规划教材。教材经过3年多的使用,得到了广大师生的关注,收集了各方面建议和意见。为了更好地适应现代数字电子技术的发展和应用,需要对教材内容进行进一步改革。

参考文献:

[1]陆冰,魏芸,闾燕,等.“数字电子技术”课程教学改革的实践[J].电气电子教学学,2013,35(4):46-47.

[2]宁改娣,杜亚利.教材:《数字电子技术》教材改革探索[J].教育教学论坛,2012(8):98-99.

[3]黎艺华,谢兰清.高职数字电子技术项目课程教材建设探索[J].教育与职业,2011(15):131-132.

[4]秦长海,张天鹏,翟亚芳.数字电子技术[M].北京大学出版社,2012.

数字逻辑电路篇7

【关键词】数字逻辑;下标计算法;趋势分析法;Proteus软件

《数字逻辑》是计算机科学与技术专业以及电气、电子信息类专业的一门专业基础课,主要介绍数字逻辑电路的分析和设计的方法[1],是微机原理与接口技术、单片机原理等专业课程的先导课程。该课程对学生要求起点较低,不需要过高的前序知识,但实践性较强,内容分散,不容易记忆。学生一开始接触的是基本概念、原理方法、数字逻辑运算等,内容抽象,与实际的逻辑电路联系不多,导致学生一开始就对这门课不感兴趣[2]。而在课程后半段讲解“中规模通用集成电路”时,单纯依靠板书或PPT,无法让学生对各种数字逻辑电路的结构和功能进行深入了解和分析,更加无法培养学生设计数字逻辑电路的能力。在这种情况下,教师如何在有限的时间内,精心设计教学方案,改革教学方法和教学手段,激发学生的学习热情,提高教学质量,是一个值得认真研究和深入讨论的问题[3]。下面将分别从教学方法和教学手段方面探讨如何改进数字逻辑课程的教学,从而降低课程讲解难度,提升学生的学习效率和效果,最终提升教学质量[4]。

1 教学方法改进

在涉及数字逻辑课程前面一部分内容,包括逻辑代数、组合逻辑电路和时序逻辑电路等章节的教学时,采用好的技巧或方法往往能使运算或分析更易懂、更方便且更不容易出错。下面针对数字逻辑课程中“逻辑函数表达式转换”内容提出“下标计算法”,针对“同步时序逻辑电路设计”的原始状态图构建环节提出“趋势分析法”,在避免教学过程中对教材内容原样照搬的同时,更加简化计算和降低分析难度,更大程度上避免错误的发生。

1.1 下标计算法

将一个任意逻辑函数表达式转换成标准与-或表达式是数字逻辑课程中的基础,包括卡诺图化简逻辑函数、二进制译码器或多路选择器实现逻辑函数等内容中均会用到。教材中主要采用的是代数转换法,分两步进行:

这种转换方法第一步不可或缺,但是第二步扩展最小项时会使逻辑函数变得更加复杂,运算过程中更加容易出错。针对这种缺陷,为简化计算和减少错误,在第二步运算过程中采用“下标计算法”。这种方法是把第一步得出的一般与-或表达式中的每个非最小项的与项通过表格的形式单列出来,然后计算出每个与项的全部最小项下标,并且找出所有出现且不重复的下标值,最后直接得出标准与-或表达式的简写形式。

第二步:采用“下标计算法”得出标准与-或表达式,运算过程如表1所示。

从表1中可找到出现的全部不重复下标分别是0、1、3、6、7,因而可直接得出标准与-或表达式的简写形式为

1.2 趋势分析法

在完全确定同步时序逻辑电路的设计过程中,形成正确的原始状态图是设计的第一步也是最关键的一步,否则设计出来的电路必然是错误的。而在同步计数器、序列检测器和代码检测器这三种同步时序逻辑电路的设计中,序列检测器的原始状态图的建立又是其中的重点和难点。教材中所采用的方法可行但是难以理解,学生在设计类似电路时很容易出错。针对这个问题,采用“趋势分析法”能够较好的解决。所谓“趋势分析法”,就是根据每个状态的存储功能和输入序列的变化趋势,分析现态在下一个输入信号出现时应该指向哪一个次态,这样逐步分析下去,最后得出正确的原始状态图的方法。下面以“0101”序列检测器为例来说明用“趋势分析法”建立原始状态图的过程。

例如,作出“0101”序列检测器的Mealy型状态图,典型输入/输出序列如下:

输入x 1 1 0 1 0 1 0 1 0 0 1 1

输出Z 0 0 0 0 0 1 0 1 0 0 0 0

首先分析需要使用的状态数目。按照一位输入的序列检测器的一般状态数规律,如果需要检测的序列有n位,则状态数需要n+1个。这是因为其中第一个状态为初态,其他n个状态用于存储n位序列的变化过程。此处待检测序列是“0101”共四位数,故而需要五个状态。其中A状态为初始状态,B状态用于存储输入信号“0”,C状态用于存储输入信号“01”,D状态用于存储输入信号“010”,E状态用于存储输入信号“0101”(即待测序列)。

接下来采用“趋势分析法”作出Mealy型原始状态图,分析过程如图1所示。

“趋势分析法”分析过程说明如下:

(1)从初态A开始,当x=0时,状态从A到B,因为状态B存信号“0”,输出Z=0;当x=1时,状态从A到A保持不变,输出Z=0。

(2)此时处于状态B。当x=0时,状态从B到B,输出Z=0;当x=1时,状态从B到C,因为状态C存信号“01”,输出Z=0。

(3)此时处于状态C。当x=0时,状态从C到D,因为状态D存信号“010”,输出Z=0;当x=1时,状态从C到A,因为信号“011”不能构成“0101”序列的任何一部分,所以只能回到初态A,输出Z=0。

(4)此时处于状态D。当x=0时,状态从D到B,因为状态B存信号“0”,输出Z=0;当x=1时,状态从D到E,因为已经构成“0101”序列,并且输出Z=1(只有检测到待测序列时输出Z=1,否则Z=0)。

(5)此时处于状态E。当x=0时,状态从E到D,因为状态D存信号“010”,输出Z=0;当x=1时,状态从E到A,因为信号“011”不能构成“0101”序列的任何一部分,输出Z=0。注意“当x=0时,状态从E到D”是学生分析时最容易出错的地方,错误原因在于认为“状态应该是从E到B”,这是没有考虑到当输入信号“0101……”重复出现时,前一个“0101”序列的后半段能够作为下一个“0101”序列的前半段这种情况。

2 教学手段改进

为了增强学生对数字电路的感性认识,加深学生对数字逻辑分析方法的理解,掌握常用集成器件的基本使用方法,提高学生学习兴趣[6],避免枯燥的集成芯片和数字逻辑电路功能讲解。将Proteus软件引入数字逻辑课程教学,可增强教学的生动性和直观性[7]。Proteus 软件具有多种元件库,其中的元器件大多均可直接用于实际电路的搭建,而且该软件提供了多种与实际仪器仪表用法相似的虚拟仪器设备,还有各种信号源,几乎可以完成各类数字逻辑电路的设计、测试和辅助分析工作[8]。

在讲解通用中规模时序逻辑电路章节的集成计数器相关内容时,用同步计数器构建任意进制计数器有多种方法,电路比较灵活,既可以利用计数器的清除端,也可以用预置功能。此时可利用Proteus仿真演示动态过程,节约大量的教师口头讲述时间,这样更具感染力和说服力,学生也更容易理解接受[9]。

例如,4位二进制同步可逆计数器74193构成模10加法计数器和模12减法计数器,要求用Proteus软件实现。其仿真结果如图2所示。

图中电路分成上下两个部分,上半部分电路是模10加法计数器,下半部分电路是模12减法计数器。两个计数器电路相同之处是均由信号发生器(发出频率为1Hz,电压为0-+5V的方波信号)、同步可逆计数器74193、七段显示译码器7448和七段共阴极数码管构成。不同之处在于加法计数器采用累加计数,当计数器输出由1001变成1010时,与门输出为1,该信号接至清除端MR,使计数器状态变成0000,因而其计数范围是0000-1001,从而构成模10加法计数器。而减法计数器采用累减计数,初始设置端平时为1,电路开始工作时置入初态1111,然后开始减1计数,当计数器输出由0100变为0011时,或门输出由1变为0,该信号送至预置端PL,使计数器立即置入1111,因而其计数范围是1111-0100,从而构成模12减法计数器。

3 结语

通过“下标计算法”能够让学生在进行逻辑函数表达式转换时更加简便快速、少犯错误。通过“趋势分析法”能够让学生在同步时序逻辑电路的设计过程中,走好关键的第一步,形成正确的原始状态图。通过Proteus软件仿真,能够让原本枯燥乏味的数字逻辑电路讲解变得更加形象、生动和直观。在教学过程中需要不断地研究和尝试新的教学方法和教学手段,以提高数字逻辑课程的教学效果,为学生学习后续专业课程以及为解决工程实践中所遇到的数字系统问题打下坚实的基础。

【参考文献】

[1]陶永明.《数字逻辑》课程教学方法研究及探讨[J].现代计算机:专业版,2010(5):98-102.

[2]董汉磊,吕治国.“数字逻辑设计”课程教学改革研究[J].中国电力教育,2011(28):122-123.

[3]徐健宁.《数字逻辑电路》课程的教改探索[J].职业时空,2011,7(9):109-110.

[4]施键兰,赵芮,黄文秀,等.《数字逻辑》课程教学改革的探索[J].现代计算机:专业版,2011(23):45-47.

[5]欧阳星明,于俊清,等.数字逻辑[M].4版.武汉:华中科技大学出版社,2009:32-34.

[6]庄立运,王晓辉.Proteus在数字电子技术课堂教学中的应用探讨[J].科技信息, 2011(13):84.

[7]陈坚祯,阳平,程鹏,等.Proteus仿真在计算机嵌入式方向系列课程中的应用[J]. 湖南科技学院学报,2012,33(8):63-65.

数字逻辑电路篇8

关键词:数字电路;教学体系;重构;设计

中图分类号:G642.0?摇 文献标志码:A 文章编号:1674-9324(2014)06-0165-02

一、概述

数字技术是近几十年发展最快的技术,其发展对人类社会产生着深远的影响。作为数字技术硬件基础的数字电路遵循摩尔定律,在几十年中经历了从分立电路到集成电路的设计历程,到现在已进入片上网络(Network on Chip,NoC)的阶段。从数字电路的晶体管电路时代,历经中小规模集成电路设计时代,到现在广泛采用EDA工具进行ASIC设计以及基于FPGA进行设计的时代,电路设计的每一步发展过程都产生过很多重要的设计思想及设计方法。这些设计思想及方法的累积构成了现在的数字电路教学体系。然而,由于新旧体系高速更迭,使得目前的数字电路教学体系呈现一种拼接的模式,整体内容缺少因果链接,电路的逻辑设计、功能设计和性能设计三方面脱节。这种现状与当前数字技术领域对人才的要求极不适应。要对现状有所改革,首先需要对数字电路各部分内容有所了解,从中提取适应发展的部分,重新构成一个自洽的课程内容体系。本文希望通过对现有课程中不同部分内容进行分析,在此方面进行一些尝试。

二、基于晶体管的设计

目前,数字集成电路采用的主要工艺是CMOS工艺,在这种工艺条件下,电路逻辑结构由MOS晶体管担任开关作用来实现。MOS晶体管分为PMOS和NMOS两种形式,分别用于传导高电平(1)和低电平(0),如图1所示。逻辑输入控制晶体管的栅极,连通的晶体管支路由电源或地为逻辑输出提供标准输出电平,如图2所示。在晶体管的相互连接中,NMOS的串联可以实现AND运算,并联实现OR运算,由此可以形成各种基本的逻辑单元,如图3所示,这些逻辑单元的进一步连接可以形成各种功能电路。

在目前国内外教材的分析中,对此类电子电路的评价主要集中于晶体管数量。如何在设计中减少晶体管的使用量成为设计的主要目标。基于这一考虑,在基本单元层次,发展了AOI电路结构,将“与-或”二级结构形成一个整体,晶体管数量只与初级与门输入的数量相关。在功能设计的层次,引入卡诺图对逻辑方程进行最小化,其目标也是通过减少初级门输入端的数量来实现晶体管数量的减少。上述设计方法能够非常准确地表达数字电路的逻辑体系实现,并能建立组合逻辑的卡诺图分析设计方法和时序逻辑的转移输出表的分析设计方法,为数字电路的规范化设计体系奠定了很好的基础,也构成了目前数字电路设计的理论基础。但在目前的教学体系中,这种设计方法只是将晶体管作为标准开关器件使用。由于缺少有效的评价体系,目前逻辑分析仅停留在简单电路的分析设计,在中规模功能电路的分析设计中,几乎没有采用这一体系。在VLSI的设计时代,对电路性能的评价主要表现为集成度(占用芯片面积、成本)、速度(最长延迟时间、最高时钟频率)和功耗(最大功耗、平均功耗)等指标上。要实现同样的功能,利用逻辑定理可以设计出很多不同结构的电路,最优化成为设计中的中心环节。而要实现这一目标,在基本逻辑结构形成的阶段就需要补充对于相关性能的描述模型。

三、基于中小规模集成块的设计

在上世纪70~80年代,为了应对数字技术的广泛采用,发展了以74系列为代表的各种中小规模集成块。不同领域的用户可以选用尽可能少的通用集成块连接形成电路,满足自己的特殊系统需求。为了使用上的方便,中小规模集成块在外型和I/O端口性能方面都进行了统一标准设计,其输入/输出特性由Data sheet详细规定,用户在使用时可以不忽略其内部电路工艺及逻辑形成方式,只根据设计要求选取对应功能块,根据端口特性设计外部负载连接电路。考虑到通用模块可能需要对模拟器件进行驱动,此类电路通常都配备了强大的对外驱动电路,导致集成芯片中主要部分为I/O部件,逻辑功能部分只占据了集成芯片的次要部分。为了增加模块的通用性,通常会在基本功能的基础上添加许多额外的控制/状态端口(与集成块的总体成本相比,这些添加几乎不增加成本,但能够带来市场上的好处)。由于电路的成本、速度、功耗主要由I/O部件及外壳决定,简单逻辑与复杂功能的模块在价格和速度上相差不大,用户倾向于选用复杂功能模块来构成电路(使用模块的部分功能),而不是选用基本逻辑部件构成电路,电路设计的主要目标成为选择最少逻辑块及最少连线进行设计,与逻辑设计基本脱离关系。在目前的教学体系中,关于逻辑单元静态与动态特性的讨论基本采用这种方式讲解;各种组合功能电路的设计和时序功能电路的设计(二进制计数器、移位寄存器等)都采用此类方式。由于目前的实验条件,以及学生创新活动中自己设计小系统的需要,中小规模集成块仍然具有重要的使用价值,相关内容也就构成了数字电路课程教学中功能设计的主体部分。然而,中小规模集成块作为一种集成度低下的分立设计,其高成本和低速度是其不可避免的缺陷。如何将相应内容与低层逻辑设计合理地结合,将电路性能的评价带入到对不同结构设计的选择上,是解决这一问题的关键。在ASIC设计中,不会无谓地设计不需要用到的所谓多功能扩展,对功能模块的教学改革应该首先着眼于基本功能的最佳实现方式,然后考虑在不同应用中的最佳扩展设计方式。目前基于多功能器件进行设计,利用其部分电路的设计方式对中小规模集成块是优化的方式,但对于片上设计就是一种浪费的设计了。

四、基于HDL的设计

随着计算机技术的广泛采用,数字集成电路的设计也进入EDA时代。HDL使电路的设计描述和仿真验证可以利用计算机工具进行,方便于层次化设计中信息的交流、保存、修改,有效提高了设计效率,降低了设计成本。同时,基于FPDA的设计也成为中间设计的主流方式。为了适应这种发展,现行数字电路课程中开始引入HDL语言的内容,并对各种功能电路的描述编程进行了足够详细的介绍。同时也对FPGA的基本结构进行了介绍。利用这些内容,学生能够方便地使用计算机系统开展各类数字设计,扩大了数字电路的应用教学,通过对设计的仿真也能够更好地理解电路性能与设计的关系,使学生对数字电路设计有更实际的理解,也便于开展课程设计和各种实验活动。HDL是一种硬件电路的描述工具,主要帮助仿真过程的自动进行。而目前关于HDL的教学中,很少将电路逻辑与性能的关系反映到语言描述中,使语言的描述沦为对电路功能的描述,失去了EDA工具的使用本意。对电路性能描述中比较容易的是对延迟时间(或时钟频率限制)的描述。若要进行这方面的描述,HDL必须基于最基本的逻辑单元,设计者应对各种基本部件的时间延迟以及连线负载带来的时间延迟有足够的了解。而电路的功能设计描述则必须基于这种带时间延迟的部件互连设计(结构设计的描述)。此点在目前的HDL的教学中应特别强调。同时需要注意到,这种仿真一定要在与综合无关的工具上进行。对设计集成度的衡量取决于电路设计的综合方式。目前,在EDA设计领域尚未建立一种统一的综合方式,不同的综合工具采用不同的算法结构,综合效率各有不同。虽然综合算法本质上是基于基本逻辑优化理论建立的,但其中涉及的各种数学理论很多,不是数字电路这门课程能够解决的。因此,本课程无法涉足综合领域,也难以将课程内容与综合工具得到的结果形成对应关系。如何将基本理论与综合算法联系起来,形成一个统一的系统,应该是数字电路课程未来一段时间的改革目标。目前,很多的免费EDA工具采用FPGA作为综合的基础,这种综合工具的优点是能够方便地得到所设计电路的评价(占用单元数量、延迟时间、时钟频率)。然而,由于FPGA设计的基础是4输入查找表(等价于4输入卡诺图的最小项和设计),在基本逻辑层次上可以认为未进行任何化简,集成度低、延迟时间长。同时综合工具会根据4输入查找表建立优化算法进行综合,由此将用户进行的结构设计思想抹杀,不利于课程内容的相互衔接。如果要理解其综合结构,就必须首先建立FPGA基本单元和布线方式的电路参数模型,然后在此基础上建立独特的综合算法。目前,本课程难以完成这一任务。

五、统一体系的思考

基于上述分析,可以看到目前数字电路面临的困境,也展现了建立一个统一体系的需求。统一体系应该以电路性能参数(集成度、速度等)作为评价模型,着重考虑ASIC和VLSI设计中的需求。评价模型应该由底层基本器件(晶体管)开始分析建立,继承现有体系中关于逻辑设计的思想,将性能评价延伸到逻辑模块和功能模块层次;逻辑层次的设计中,主要展现功能的不同结构实现方式,为电路设计提供灵活性;而在功能层次的设计中,则通过对不同结构的性能进行比较,确定电路的最佳形成方式。HDL的设计应该将速度的评价融入到电路结构的描述中,并通过仿真工具的应用使这一评价能够推广到大系统中,对同步时序设计提供支持。

参考文献:

[1]姜书艳,罗刚,吕小龙,邓罡,周启忠.片上网络互连串扰故障模型的研究及改进[J].电子测量技术,2012,35(4):123-127.

[2]姜书艳,罗刚,吕小龙,金卫,谢暄.90nm和65nm工艺下片上网络互连串扰故障模型分析[J].电子测量与仪器学报,2012,26(3):267-272.

[3]艾明晶.基于自动设计方法的数字逻辑课程改革研究与实践[J].实验技术与管理,2012,29(9):151-155.

[4]张苹珍,王俊峰,仲涛.VHDL在数字逻辑电路设计中的应用方法[J].信息通信,2012,(5):96-97.

[5]张丽杰,吕少中.QuartusⅡ软件在数字逻辑电路教学中的应用[J].软件导刊,2012,11(4):199-200.

[6]曹维,徐东风,孙凌洁.基于CDIO理念的数字逻辑实践教学探索[J].计算机教育,2012,(12):75-77.

[7]Frank Vahid. Digital Design with RTL Design,VHDL,and Verilog,A John Wiley & Sons,Inc.,Publication.2011:41-48.

数字逻辑电路篇9

关键词:数字逻辑;课堂教学;实验教学

作者简介:徐银霞(1979-),女,湖北武汉人,武汉工程大学计算机科学与工程学院,讲师。(湖北 武汉 430073)

中图分类号:G642.421 文献标识码:A 文章编号:1007-0079(2013)28-0104-02

“数字逻辑”是计算机专业一门重要的硬件基础课程,其主要目的是使学生掌握数字系统分析与设计的理论知识,熟悉各种不同规模的逻辑器件,掌握各类逻辑电路分析与设计的基本方法,为数字计算机或其它硬件电路分析与设计奠定基础。[1]“数字逻辑”课程教学一般采用课堂教学与实验教学相结合的方式,使得学生掌握数字电路分析与设计的一些理论知识,同时培养学生电路设计、制作与调试以及分析问题、解决问题的能力。学生的学习效果一直是教学当中的重中之重,因此如何有效利用有限的理论与实验教学时间培养学生的综合素质是一个值得探讨的问题。笔者结合多年的教学实践经验,分别对课堂教学和实验教学环节就“数字逻辑”课程的教学方法做一次探讨。

一、“数字逻辑”课程的课堂教学

课堂教学效果直接决定学生理论知识掌握的程度,也影响随后的实验及实践能否顺利进行。在课堂教学中采用任务式教学、课堂讨论、电路仿真演示以及硬件描述语言电路设计等方式进行教学,取得了满意的效果。

1.任务式教学

明确任务,使学生掌握方法,做到举一反三。教学过程中将 “数字逻辑”课程的知识点归纳整理成若干个任务。比如数字电路按逻辑功能分成组合逻辑电路和时序逻辑电路两大类,主要的问题是电路分析与设计两个方面。按电路规模要求重点掌握的是小规模和中规模电路,所以任务主要有小规模组合电路的分析、小规模组合电路的设计、中规模组合集成芯片、中规模组合电路分析、中规模组合电路设计;小规模时序电路分析、小规模时序电路设计、中规模时序集成芯片、中规模时序电路分析、中规模时序电路设计等等。对于每一个问题明确任务,分析解决办法,归纳一般的解答步骤及注意事项,举例证明方法的可行性。比如对于中规模组合芯片的学习,仅以数据选择器为例,引导学生上网查阅芯片资料,阅读资料找出芯片的功能表、输出表达式,逻辑图和引脚图以及典型应用。这样,学生不仅掌握了该芯片的全部知识要点,还可以掌握中规模组合集成芯片这类芯片的学习方法。此后,对于所有此类芯片学生都能够通过自行查找芯片资料来掌握,节约了课堂时间,学生也获得了自主学习的成就感。

2.增加课堂讨论

精讲多练,给予学生充分的讨论时间。为提高学习效果,在提出任务、介绍原理及方法后,布置课堂练习。学生可以一边练习一边自由讨论,已理解的同学在讨论中充当老师,可以加深印象,巩固知识;而没有理解的同学可以在讨论中积极主动地学习,同时也激发了学生后续学习的积极性,比教师反复讲解的效果好。这种方式可以避免“满堂灌”式的教学方式,活跃课堂气氛,创造学习氛围,提高学习兴趣,实践证明取得了良好的效果。

3.电路仿真演示

在数字电路分析与设计的理论教学过程中,很多学生会觉得枯燥且难以理解。借助Multisim11.0仿真软件进行数字电路的模拟和课堂演示,可以直观地显示电路的功能和时序电路的时序波形。比如在讲解中用16进制计数器74161实现12进制计数器时,其中复位法可通过置0或者异步清零两种方法使得计数器从11回0,但置0法必须在计数到1011时使得置数端为0,异步清零必须在计数器为1100时使得清零端为0才能保证计数器为12进制。如果仅用理论讲解学生比较难理解,但通过仿真演示后学生能够恍然大悟。因此仿真软件的使用可以使“数字逻辑”理论课的教学更加生动活泼,而且学生在遇到疑问时也可以通过仿真软件进行验证。学生通过直观的仿真结果,对电路的工作过程进行透彻的分析,提高了学习的兴趣和效率,促进自学能力和创新能力的提高。

4.引入硬件描述语言

硬件描述语言用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,适合大规模系统的设计。在教学的过程中将硬件描述语言Verilog HDL引入课堂,比如在讲解逻辑门、数据选择器、触发器、计数器等基本单元电路的原理之后,给出模块对应的硬件描述语言,演示仿真波形和综合结果。学生从仿真波形中观察信号的逻辑变化,对数字逻辑电路的掌握更加透彻,同时也丰富了教学内容。Verilog HDL语言是一种非常实用的硬件描述语言,易学易用,学生只要有C语言编程基础,便容易掌握。编程也可以实现电路设计,同学们感到非常新奇,将被动学习变为主动学习,提高学习兴趣,取得了很好的教学效果。

二、“数字逻辑”的实验教学

“数字逻辑”是一门实践性很强的课程。[2]通过数字电路设计实验,学生可以基本掌握数字电路的设计、制作与调试步骤,学会借助万用表、示波器等实验仪器排除实验当中遇到的各种故障,从而独立分析设计各种规模的数字电路。实践教学中将传统实验、仿真实验与硬件描述语言设计三种类型实验相结合,三者互为补充,提高实验效果,充分培养学生的综合实践能力。

1.传统实验

传统实验项目一般利用面包板及用中小规模芯片完成电路设计。其接线模式可以使学生直观了解数字电路是如何工作的,从而掌握电路测试、调试以及维修技能。但是部分学生视这一过程为简单的连线工作,往往只注重结果,不重视过程,造成实验课就是反复的接线和碰运气,学生不能驾驭整个实验过程,产生畏难和退缩心里。在实验课前要求学生书写预习报告,自主设计实验方案,进行原理图设计、芯片选型,上网查阅芯片资料,掌握阅读芯片资料的方法,进行实验方法设计,可以避免机械化操作,学会排除故障,增强操作信心。

在实验过程中,学生不可避免地会遇到种种问题,导致实验结果出错:可能是电路设计或连线过程中出现了问题,也有可能是实验设备或实验器材出现了故障。教师应该指导学生借助实验仪器找到故障点,发现问题之所在,并想出解决办法。在未来的实际工作中,学生将会遇到各种各样的问题,而实验课正是锻炼如何解决这些问题的好机会。因此实验中应该向学生讲明排除故障的必要性,并引导其对独立解决各种疑难问题的兴趣,增强其信心,令其克服畏难情绪。一旦学生掌握了排除故障的方法,独立解决了问题,他们就会很有成就感,甚至就此对排除故障产生了浓厚的兴趣。[3]实践表明学生能自主完成所有设计,自主分析讨论实验过程中碰到的问题,逐个排查故障点,最终完成电路调试。

2.仿真实验

传统实验适于以验证性实验为主的一些中小规模电路的构建与测试。对于一些比较复杂的设计性和综合性实验则比较费时,如数字钟、抢答器、拔河游戏机、彩灯控制器等。而且在实验过程中常常因一根导线连接错误、一个连接点接触不良,就致使实验受阻甚至无法完成,给学生以挫折感,影响学生的实验兴趣,不利于动手能力的培养。

Multisim11.0是一个集原理电路设计和电路功能测试为一体的虚拟仿真软件,其元器件库提供了数千种电路元器件供实验选用,其中包含了数字器件。虚拟测试仪器仪表种类齐全,如数字万用表、函数信号发生器、示波器、直流电源、数字信号发生器、逻辑分析仪等,可以设计、测试和演示各种电子电路。[4]采用Multisim11.0软件进行仿真实验,使学生能充分发挥想象力,按照自己的想法创建各种电路,从而摆脱实验箱的束缚。实践证明将Multisim11.0应用于实验教学,能够使学生提高学习的兴趣,增加学习乐趣,充分发挥学生独立思考和创新的能力,提高学生的综合实践能力。

3.硬件描述语言开发数字电路

当数字逻辑电路及系统的规模比较小而且简单时,用电路原理图输入法基本足够了,但是需要手工布线,需要熟悉器件的内部结构和外部引线特点,才能达到设计要求。当电路规模大时工作量会相当大,实验时间往往不能保证。随着可编程逻辑器件的广泛应用,硬件描述语言已成为数字系统设计的主要描述方式,采用硬件描述语言进行数字电路的设计,可以实现从传统的验证性实验到分析设计性实验课的转变。利用Verilog HDL硬件描述语言进行数字钟、抢答器、交通灯控制电路等的设计,要求学生利用课堂知识进行编程、仿真、综合和下载到可编程逻辑器件中运行以观察结果。学生还可以按照自己的想法自行设计其它数字电路进行仿真、下载调试,提高学生学习兴趣和综合实践能力。

此外还通过举办电子设计竞赛、综合设计等方式激发学生的学习兴趣,提高学生自主学习、独立分析问题和解决问题的能力,也提高了学生综合应用的能力,收到了良好的教学效果。

三、结论

数字电子技术的应用已经渗透到人类的各个方面,从计算机到手机,从数字电话到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都采用了数字电子技术。[5]因此“数字逻辑”课程对于计算机及相关专业来说是一门很重要的课程。笔者结合多年的教学实践经验,对“数字逻辑”课程的教学方法进行深入探讨,在课堂教学中采用任务式教学,增加课堂讨论,借助仿真软件进行电路演示,利用硬件描述语言进行复杂数字系统设计;在实验教学中将传统实验、仿真实验和硬件描述语言实验有机结合、互为补充,激发学生的学习兴趣,培养学生的综合能力,取得了很好的教学效果。

参考文献:

[1]康华光.电子技术基础(数字部分)[M].第5版.北京:高等教育出版社,2006.

[2]孙丽君,张晓东,鲁可.“数字电子技术”课程教学改革探析[J].中国电力教育,2013,(13):67-68.

[3]王宇,崔文华,王宁,等.兴趣导向的数字电路设计实验改革[J].计算机教育,2010,(17):38-40.

数字逻辑电路篇10

关键词:计算机,电器控制,模拟,CAD

一、引言

实现顺序控制的电器控制线路的数学模型是一组逻辑关系表达式,其中逻辑变量代表控制触点,受控元件的电磁线圈为各触点的逻辑函数,逻辑函数值即对应受控元件的工作状态。在电器控制系统运行过程中,各元件及触点状态的变化,使逻辑运算结果随之改变,这种变化的过程实际就是电器控制线路的运行过程。

电器控制系统中元件与控制触点之间的逻辑关系是根据系统控制要求确定的,模拟控制线路的运行过程就是要按一定顺序解算控制系统的数学模型——逻辑代数方程组。在方程组中,以逻辑函数代表运算元件的电磁线圈,以逻辑变量代表元件触点。对同一电器元件来说,其线圈和触点的物理状态是互相关联的,可约定逻辑函数值为“1”时表示线圈得电,同名的原变量取值为“1”,表示动合触点闭合;反之,逻辑函数值为“0”时表示线圈得电,同名的原变量取值为“0”,动合触点断开。

二、电器控制线路模拟运行程序设计的主要思路

1.表达式分析的基本原理

计算机高级程序设计语言编译系统中,通常配备有字符型变量,一个数学表达式可以以集中或分散的形式存储在这类变量中。将一个具有物理意义或数学意义的函数表达式转换为计算机能够执行的指令的过程,称为表达式句法分析。表达式的分析过程是按严格的代数规则进行的,因为电器控制线路的数学模型是逻辑代数方程,故模拟运行程序中表达式分析依据的即为逻辑代数运算规则。

“递归下降法”是比较常用的表达式句法分析方法,其基本过程就是将一个完整的表达式逐项分解,分解出的成分可以是变量、运算符或子表达式,当根据分解规则识别出被分解出来的某个成分为子表达式时,就要继续进行分解,直至所有被分解出的成分皆为最基本元素为止(所谓最基本元素,即为事先约定的可以直接参与计算的变量和运算符)。

在设计表达式分析程序时,首先要约定变量、运算符及子表达式定界符,笔者根据电器控制线路数学模型——逻辑代数方程的基本运算规则,以及有关电器元件文字符号的标准规定,约定以下一些字符串为合法的逻辑变量:

sb——手动按钮动合触点变量;nsb——手动按钮动断触点变量;

sq——行程开关动合触点变量;nsq——行程开关动断触点变量;

KM——接触器线圈函数;

km——接触器动合触点变量;nkm——接触器动断触点变量;

K——中间继电器线圈函数;

k——中间继电器动合触点变量;nk——中间继电器动断触点变量;

KT——时间继电器线圈函数;

kt——时间继电器瞬时动合触点变量;nkt——时间继电器瞬时动断触点变量;

t——时间继电器延时动合触点变量;nt——时间继电器延时动断触点变量;

YA——电磁铁线圈函数,

约定在上述各逻辑函数及逻辑变量之后可附加0~9数字序号。约定“*”为逻辑“与”运算符,表示线路中的串联连接;“+”为逻辑“或”运算符,表示线路中的并联连接;“=”为逻辑函数赋值符。约定“(”、“)”为子表达式的定界符。

2.表达式分析的实现过程

设一电器控制线路原理图如图1所示,对应的逻辑关系表达式如下:

K=(sb1+k)*nsb2

其中sb1为K的起始信号,sb2为K的终止信号,k是元件K的自锁触点。当sb1出现时其逻辑值为“1”,在sb2没有出现之前sb2的逻辑值为“0”,nsb2即为“1”,故经逻辑运算K的逻辑值是“1”,即表示元件K得电,随即k的逻辑值由“0”变为“1”,表示自锁触点k自锁闭合。

对这样的逻辑函数表达式的分析过程是从“=”右侧字符串分解开始的,每分解出一个元素就要返回一个记号(称作token),这是表达式分解的核心过程,图2为求取表达式元素分解子程序(get_token)流程图,围绕元素分解过程构成的表达式分析程序(caculate)流程图如图3所示。

图2表达式元素分解子程序(get_token)流程图

以前面图1为例,进入caculat程序后调用get_token函数,得到函数名K及“=”符号,以下顺序调用level2、level3、leve4子程,判断出得到的是“(”符号时,说明后面是一个子表达式,随即递归调用level2子程,且再依次进入level3、level4子程,这时可得出逻辑变量名sb1极其状态值。其后由level4返回到level3并调用get_token函数,得到“+”运算符后返回。返回到level2后判断出“+”运算符,即要调用get_token函数,得到变量名k及其状态值并执行逻辑或运算,将计算结果存入一暂存变量result中,然后从level2退出。这时会返回到level4子程中且调用get_token函数,得到“)”返回返回到level3子程。在level3中判断出为“*”运算符时调用get_token函数,得到nsb2及其状态值后执行逻辑与运算,最终将计算结果返回到变量K中,结束表达式分析计算过程。

三、结束语

本文论述了电器控制线路在微机上模拟运行的核心问题——逻辑关系表达式的分解计算。设计这样一个应用软件,可以帮助设计者快速有效地检验设计结果、分析线路潜在问题,可以说是电器控制线路CAD不可缺少的重要环节,同时也是CAD技术大有可为的一个领域。