数字电路设计论文十篇

时间:2023-03-19 14:17:57

导语:如何才能写好一篇数字电路设计论文,这就需要搜集整理更多的资料和文献,欢迎阅读由公务员之家整理的十篇范文,供你借鉴。

数字电路设计论文

数字电路设计论文篇1

1.1 信号线间距离的影响

计算机高速数字电路设计技术的发展是电子设计领域一次新的突破,对计算机电子技术的发展有着极大的作用。但是,在现阶段计算机高速数字电路设计技术中却存在一定的问题。例如,信号线间距离对计算机高速数字电路设计的影响,一般情况下,信号线间的距离会随着印刷版电路密集度的增大而变化,越来越狭小,而在这个过程中,也会导致信号之间的电磁耦合增大,这样就不会对其进行忽略处理,会引发信号间的串扰现象,而且随着时间的推移会越来越严重。

1.2 阻抗不匹配的问题

阻抗是信号传输线上的关键因素,而在现阶段计算机高速数字电路设计的过程中,却存在信号传输位置上的阻抗不相匹配的现象,这样极易引发反射噪声,而反射噪声将会对信号造成一定的破坏,使得信号的完整性受到极高速数字电路设计是电子技术行业发展的重要结晶,通过多个电子元件组成,更是将电子技术发挥的淋漓尽致,而且,计算机高速数字电路技术的应用也极为广泛。但是,在实际的应用中,计算机高速数字电路设计技术却受到一些因素的影响,例如,信号线间距离的影响、阻抗不匹配的问题、电源平面间电阻和电感的影响等,都会对计算机高速数字电路技术的运行效率产生影响,要提升计算机高速数字技术的应用效率,必须解决这些影响因素,对此,本文主要对计算机高速数字电路设计技术进行研究。摘要大的影响。

1.3 电源平面间电阻和电感的影响

计算机高速数字化电路设计技术是根据实际的情况,利用先进的电子技术设计而成,在诸多领域都得到广泛的应用。现阶段计算机高速数字电路设计中,由于电源平面间存在电阻和电感,使得大量电路输出同时动作时,就会使整个电路产生较大的瞬态电流,这将会对极端级高速数字电路地线以及电源线上的电压造成极大的影响,甚至会产生波动的现象。

2计算机高速数字电路技术的研究分析

2.1 合理设计,确保计算机高速数字电路信号的完整性

通过以上的分析得知,现阶段计算机高速数字电路设计技术中,由于受到阻抗不匹配的影响,对电路信号的完整性也造成一定的影响,因此,要对计算机高速数字电路技术进行合理的设计,确保计算机高速数字电路信号的完整性。主要分为两方面研究,一方面是对不同电路之间电路信号网的传输信号干扰情况进行研究,也就是以上所提到的反射和干扰的问题,而另一方面,要对不同信号在传输的过程中,对电路信号网产生的干扰情况进行分析。计算机高速数字电路在运行的过程中,会受到阻抗不相匹配的因素而影响到电路信号的传输效率,而且,现阶段计算机高速数字电路运行的过程中,阻抗很难控制,经常会出现阻抗过大或过小的现象,都会对电路信号传播的波形产生一定的干扰,从而对计算机高速电路传输信号的完整性产生直接的影响。为了避免这类情况的发生,要对计算机高速数字电路设计技术展开研究,从正常理论来看,高速数字电路设计难以使电路与临街阻抗的状态相互符合,可以对计算机高速数字电路设计技术进行改进,保持系统处于过阻抗状态,这样就能保证计算机高速数字电路设计不会受到阻抗不等的状态而影响到计算机高速数字电路信息传输的完整性。

2.2 对高速数字电路电源进行合理设计

电源是计算机高速数字电路技术的重要组成元件,通过以上的分析得知,计算机高速数字电路设计中,由于受到电源平面间电阻和电感的影响,使得电源运行过程中会出现过电压的故障,也就是电源的波形质量受到影响,严重影响到计算机高速数字电路运行的可靠性。从理论上来看,如果高速数字电路设计中,电源系统中不存在阻抗的话是电路设计最理想的状态,这样整个信号的回路也不会存在阻抗耗损的问题,系统中的各个点的点位就会保持恒定的状态。但是,在实际中却不会存在这种理想状态,计算机高速数字电路系统运行的过程中,就必须要考虑到电源的电阻和电感因素,而要减少电源面的电阻和电感对电源系统的影响,就必须对其采取降低的处理措施。从当今计算机高速数字电路系统电源材质的分析了解到,电路系统中大多数都是采用大面积铜质材料,如果结合电源系统要求来分析的话,这些材料远远达不到计算机高速数字电路电源的标准要求,这样在系统正常运行的过程中势必会受到一定的影响,对此,要将所有影响因素进行综合性的考虑和研究,可以采用楼电容应用到电路中,这样可以有效的避免或降低电源面电阻和电感对系统的影响,从而有效的提高计算机高速数字电路系统运行的可靠性。

3总结

数字电路设计论文篇2

关键词:数字电子技术;逻辑电路;实践教学;软件仿真

中图分类号:G642 文献标识码:B

1引言

“数字电子技术”是计算机专业学生必修的一门专业基础课。本课程的主要目的是使学生掌握数字系统分析和设计的基本知识与原理,熟悉各种不同规模的逻辑器件,掌握各类逻辑电路分析与设计的基本方法,为数字计算机和其他数字系统的硬件分析与设计奠定坚实的基础。

为了使学生能够真正将课本上的理论知识与实际的数字电子技术电路融会贯通,我校“数字电子技术”课程组授课教师在课堂教学的基础上,精心组织、设计该课程的相关实验,让实践教学环节成为理论教学的有力支撑,使学生更好地将理论与实际结合,高效率地吸取本学科的前沿知识。

2实验教学现状

数字电子技术主要包括小、中和大规模数字电路的分析与设计、可编程逻辑器件和现场可编程门阵列器件、数字系统分析与设计。其教学侧重整个电路的逻辑功能及其应用。在以往相当长一段时间内,由于不具备支持大规模实验的设备,数字系统仿真软件也不成熟,因此国内大多高校只基于“SD―2型数字电子技术实验设备”开设了传统的中、小规模电路的实验,均未开设体现现代电子技术的中、大规模电路的实验。这导致本课程的理论不能全面与实验交融,更不能体现现代数字电子技术的核心,显然不利于学生接受该门课的知识,也与计算机技术的发展格格不入。

近年来,多功能专用硬件实验设备的投产给“数字电子技术”课程提供了全方面的基础实验平台,使“数字电子技术”大规模硬件实验的开设具备了基本条件。另外,为了让学生掌握EDA核心技术,一种可行的方式是在PC机上利用VHDL实现硬件功能,仿真其工作过程,根据时间波形图分析、改进和完善逻辑电路。这种软件仿真模式为学生掌握现代技术,适应社会需求提供了极大的帮助。

3实验教学目标

对逻辑变量、逻辑运算、逻辑函数、逻辑电路的感性和理性认知,能描述各种逻辑问题,并基于逻辑设计和物理设计的层次给予实现,这是学生在“数字电子技术”课程中必须达到的水平。为此,授课教师在给学生提供理性认知帮助的基础上,必须精心设计配套的实验课程,让学生通过实践环节透彻感性地掌握教学内容,进而掌握数字逻辑电路的设计与实现方法,以及性能分析、评价的手段。

“数字电子技术”实践教学内容的设计是在验证性实验和开放性课程设计并存、“软硬兼施”的模式下实施的,目标是使学生感性地认识、理解数字逻辑的基本概念、并能灵活运用,还能掌握先进的数字电子技术,实现复杂数字电路的分析和设计。

4实验教学内容

为了使学生全面地了解和掌握数字电子技术,在具体实践中加深对所学理论知识的理解,针对“数字电子技术”课程教学中的重点难点,我们安排了两类实验:基于硬件的验证性实验和基于软件仿真的实验。

4.1硬件实验

硬件实验采用了浙江大学方圆科技有限公司开发生产的“SD-2型数字电子技术教学实验系统”实验箱作为实验平台,用来达到验证性实验的目的。本课程选择开设如下硬件实验。

① 集成逻辑门的测试

实验目的:掌握TTL集成与非门逻辑功能的测试方法;了解TTL与非门主要参数的意义及其测试方法;学习用与非门实现其他逻辑门的逻辑功能。

在这个实验中,要求学生74LS00芯片完成TTL与非门的逻辑功能,高低电压等测试,以及实现与、或、非、异或等逻辑关系,达到感性理解逻辑变量、逻辑运算的目的。

② 利用SSI设计组合逻辑电路

实验目的:掌握用SSI器件设计组合逻辑电路的基本方法;学习用给定的SSI器件设计组合逻辑电路。

在这个实验中,要求学生利用74LS10等小规模芯片实现一个保密电子锁、产品质检器和一个灯控电路,达到掌握小规模组合逻辑设计的能力。

③ 利用MSI设计组合逻辑电路

实验目的:掌握用MSI器件设计组合逻辑电路的基本方法;学习用给定的MSI器件设计组合逻辑电路。

在这个实验中,要求学生利用74LS138、74LS151和74LS283等中规模芯片实现一个数字判断电路、多功能组合逻辑电路和一个代码转换器,达到掌握中规模逻辑设计的能力。

4.2软件仿真实验

如前所述,数字电子技术的硬件实验只能提供中、小规模电路的设计,而且实用数字逻辑器件的电路一般较复杂,连线繁多,学生在实验中极易出错,且难以及时查错,导致实验效率不高,同时无法实现大规模电路的设计,也不能为学生提供掌握现代数字系统设计技术的条件。为此,我们在硬件实验的基础上采用MAX+PLUSⅡ在PC上进行仿真,实现各种规模的数字电路和简易系统。这既能开拓学生的创新设计能力,又方便可行。具体开设的实验分别在验证性实验的后阶段和开放性课程设计阶段完成,具体来说以下实验①②为实验内容,③④⑤为课程设计内容。

① 移位寄存器

实验目的:掌握软件MAX+PLUSⅡ的安装方法;掌握软件的初步使用方法;掌握原理图输入方法;熟悉FPGA设计流程和相关步骤的主要命令;掌握移位寄存器的逻辑功能及其测试方法;学习移位寄存器的应用。

在这个实验中,要求学生在PC机上用MAX+PLUSⅡ验证74LS194芯片的功能,并用来实现环行脉冲分配器、分频器,达到熟练运用MAX+PLUSⅡ的水平。

② 计数译码显示电路

实验目的:进一步熟悉软件的使用和原理图输入法FPGA设计流程;掌握层次设计方法;掌握中规模TTL集成计数器74LS161的逻辑功能及其应用;学习使用74LS48BCD―7段译码/驱动器和共阴极七段显示器;学习设计计数译码显示电路,并了解其计数、译码显示过程。

在这个实验中,要求学生用层次设计方法,先用74LS00、74LS161和74LS48等芯片实现模10的计数器,再级联扩展成模100的计数器,并用十进制显示,达到能设计中规模时序电路的能力。

③ 数字电子钟的设计

实验目的:熟悉使用VHDL文本输入法设计PLD芯片的流程;学习数字电子钟的秒信号源及秒、分、时、星期计时器的设计;学习秒、分、时、及星期译码,显示电路的设计;掌握对波形图的量化分析方法以及调试技术,提高设计能力。

在这个课程设计实践中,让学生主动学习,开拓创新,在老师的指导下掌握方便的VHDL文本设计方法。

④ 控制器的设计

实验目的:掌握控制器的ASM图描述方法;熟练掌握控制器VHDL文件的建立。

在这个课程设计实践中,学生可以利用各种资料学习现成的程序代码,并加以改进后实现自己确定的控制功能,实现大规模集成电路的设计,达到训练学生收集资料和合理运用资料的能力。

⑤ 十字路通管理器

实验目的:进一步掌握ASM图的应用;编写十字路通管理器的VHDL文件;深入理解层次化描述的基本要领;进一步掌握对时间波形图的量化分析方法。

以上课程设计内容只是学生在选题时的一个启发,抛砖引玉,学生还可以自由发挥,结合实际应用和自己的兴趣确定很多实用有效的选题,并完成相应的任务。

5实验教学效果

实践教学环节的实施表明,“数字电子技术”实验课程很受学生欢迎。以上硬软两部分实验将传统简单的数字电子方法和现代先进的数字电子技术有机地结合起来,让学生既深入理解了成熟的理论,又掌握了现代化数字电路的开发技术。特别是基于软件仿真的课程设计,调动学生的自主创新激情,学生将抽象的理论与实际相结合,对书本的理论知识有了更深入、更具体的认识,并掌握了量化分析方法,最后达到从数字逻辑部件整体功能的角度来研究、评价数字系统的目的,这使得学生在学好“数字电子技术”专业基础课后,为后续的课程学习打下坚实的基础。

 本门课程的实践教学从06级学生开始改革实施。06级学生在“数字电子技术”的实践教学环节中表现出强烈的兴趣和积极性,他们按照要求很好地完成了每一个实验。在调查问卷中,学生们对于“数字电子技术”课程的实践教学给予了高度认可。

调查问卷中也体现出学生对软件仿真实验的兴趣和收获大于硬件实验。经分析,原因在于硬件实验平台对实验内容有一定的局限,没有软件仿真实验那么充分的设计和创新空间,也不如仿真实验那么灵活方便。

6结束语

我校“数字电子技术”课程组在教学中,本着理论结合实践的宗旨,突破传统数字电子技术实验平台的局限,

开设各类规模电路的设计,软硬兼施,提供可行的实验环境,设计可操作的实验内容。实施效果表明它很好地支撑了理论教学,有利于培养学生分析问题和解决问题的能力,开拓了学生的创新思维。

下一步我们将着重研究和设计如何把模拟仿真正确的代码、文档下载到CPLD,使其更有设计性和创新性,为“数字电子技术”课程的教学构建更有利的实验平台。

参考文献:

[1] 欧阳星明. 数字逻辑(第二版)[M]. 武汉:华中科技大学出版社,2005.

[2] 汪国强. EDA技术与应用(第二版)[M]. 北京:电子工业出版社,2007.

数字电路设计论文篇3

关键词:项目教学;数字电路;课程设置;教学实例

项目教学是将某门专业课程按类别分为若干知识和技能单元,每个知识和技能单元作为一个教学项目,每个教学项目都以应用该项知识和技能完成一个具体的项目任务作为目标,所以,项目教学是将理论与实践融于一体的教学模式。把理论知识和实践知识较好的融于到具体项目是搞好项目教学的关键,所以,数字电路课程结构必须按照项目教学模式来重新设置,本文结合作者项目教学实践经验和研究工作对基于项目教学模式的数字电路课程设置进行浅显探讨。

一、课程的性质与作用

《数字电路》是高等职业院校电子信息专业、通信专业等电类专业的一门核心职业技术基础课,是实践性较强的课程。

本课程主要针对企业生产第一线产品装配、调试、检验、维修、生产管理等岗位。通过基于工作任务的项目式教学,培养学生的逻辑电路分析能力、逻辑电路设计能力(即用中小规模集成电路设计具有一定功能的逻辑电路,而不是设计一个编码器、译码器、计数器等)、常用仪器仪表使用能力(如双踪示波器、稳压电源、信号源、计数器、频率计、万用表等仪器仪表使用能力)、逻辑电路制作能力、故障排除能力、仿真工具使用能力、自学能力、设计报告编写能力及职业素质养成,本课程培养的核心能力是逻辑电路分析能力、逻辑电路设计能力。

二、课程结构整体设计

课程教学设计的理念:以项目教学开展课程教学;实现理论实践一体化教学;以职业能力培养为主线,以应用为目的。依据此理念设计出的课程教学内容体系如图1所示。

项目实验包括单元实验、仿真实验、设计实验3个方面。“单元实验”训练常用电子仪器的使用方法和数字电路的基本测试方法,它所涉及的内容与课堂教学内容紧密相关,充分体现课程的实践性。“仿真实验”主要利用EWB平台进行实验,使学生掌握仿真工具的使用方法,并能利用仿真工具对一些设计实验、项目实训内容进行仿真。“设计实验”是通过常用的数字集成电路实现简单功能的逻辑电路。

项目实训采用EWB仿真设计+实物制作相结合的手段,项目实训内容主要利用中小规模集成电路实现具有一定功能的数字系统。在项目实训中鼓励学生将课外科技活动、数字电路制作大赛纳入教学活动中来,课内外学习相互结合,使学生视野开阔、能力增强。

理论教学与实践教学时间比例为1∶1;并安排2周课程设计进行综合实践训练。

(一)项目设计的思路

项目设计的思路:设计的项目应覆盖整个工作领域和承载这个工作领域所需要的知识和技能;项目结构划分应体现工作体系的特征;在以项目划分为线索进行工作分析的基础上,合理设计项目结构。

项目内容设计具体原则:项目应覆盖知识点和技能要求;知识点的内容应最大限度地融于项目教学之中;项目大小要根据学习内容进度和要求来确定;项目内容设计要考虑教学组织的可行性和合理性。

(二)课程教学实施思路

课程教学实施思路:理论教学主要结合在项目实验、项目实训中进行教学。

课程的教学以项目作为核心实例带动知识点讲授,以工作任务完成过程为主线选择和组织课程内容,以完成工作任务为主要学习方式,每一个项目分解为若干个工作任务,通过每一个工作任务使学生掌握必要的理论知识和技能。大部分内容教学实施在实验室中进行理论实践一体化教学,可先分析再实践,或先实践再分析理论知识,或随讲随练,讲练结合,工学交替,理论教学与实践教学同步进行。教学实施过程中突出“以职业能力培养为主线,以应用为目的”原则,重点加强对学生实践能力的培养,通过对项目设计制作训练,培养学生综合应用知识的能力。

(三)实践教学的4个层面

本课程教学模式是基于工作过程的项目式教学,借助这种教学模式和项目实验、项目实训、课程设计3个实践平台,构建了由基础训练、应用训练、创新训练和综合训练组成的“四个层面”的实践教学体系,为学生实践能力培养提供强有力保障,能使学习者在实践活动中主动学习和有效应用知识,极大提高教学效果和学生职业能力培养的效率。

三、教学内容的选取与规划

(一)教学内容选取依据

教学内容要集中体现课程教学目标,内容的选取应该以企业对岗位知识能力要求和学生适应岗位变化的可持续发展能力要求为依据。这就要求数字电路课程组的教师经常到企业进行知识和能力要求的调研,对企业所要求的知识点和能力进行分析,根据调研结果及时调整教学内容,使数字电路的内容符合行业企业发展的需要。另外,教学内容的选取还要考虑能较好地解决“基础知识、技能与学生适应岗位变化的可持续发展能力”的关系,“基础知识与应用能力”的关系,“理论与实践”的融合关系、比例关系等关系,使《数字电路》课程内容体系具有高等职业教育的针对性,适应电子信息职业岗位能力的培养。

(二)教学内容具体规划

1、理论教学内容。必修模块:数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲电路;选修模块:半导体存储器与可编程器件,数/模转换与模/数转换;拓展模块:MAX+PLUSII软件操作训练,用VHDL语言设计功能模块(拓展模块不纳入正常教学,利用课余时间结合数字电路设计制作竞赛开展教学,满足部分学生需求)。

2、项目实训内容。项目实训内容体系如图2所示,具体实训内容:加法计算器的设计与制作包括逻辑门电路功能的测试和加法计算器的设计两个项目。涉及相关知识:与、或、非逻辑运算,复合逻辑运算,TTL门电路,OC门,三态门,TTL门电路、CMOS门电路的分类及其比较,TTL与CMOS数字集成电路的使用规则,逻辑代数的基本定律及规则,组合逻辑电路的描述,组合逻辑电路的分析,最小项与最大项,常用数制与BCD码;逻辑函数的化简,组合电路设计方法,数字信号与模拟信号,组合逻辑电路中的竞争-冒险现象。抢答器的设计与制作包括译码器功能的测试、编码器功能的测试、锁存器功能的测试和抢答器电路设计等4个项目。涉及相关知识:LED显示器,显示译码器,译码器,使用变量译码器实现组合逻辑函数;编码器,二进制优先编码器功能扩展;D 锁存器;抢答器的组成框图(包括编码器、译码器、锁存器)。计数器的设计与制作包括触发器逻辑功能测试、简单计数器逻辑功能测试、集成计数器功能测试和计数器的设计与调试等4个项目。涉及相关知识:基本RS触发器,同步触发器,边沿D触发器,边沿JK触发器;时序逻辑电路的组成,计数器的类型,计数器的分频功能,同步时序电路分析;集成四位二进制加法计数器 74LS161,集成四位二进制同步加法计数器 74LS163,集成异步十进制计数器74LS290,可逆计数器74193;计数器模数的变化,振荡器。数字钟的设计与制作完成有一定功能数字钟(能显示小时分钟基本功能)设计制作,这一个项目是对前面所有相关知识的综合运用和检验。

四、教学组织与实施

教学组织与实施的思路:教学内容结构以项目和案例作为单元展开教学内容,教学组织形式采取实际操作与讲解相结合,单元学习时间为4课时;教学过程中正确处理知识学习与工作任务的关系,做到知识学习为完成任务服务,知识学习为技能形成服务;最后,学生通过学习获得报告、图纸、工艺文件、作品等学习成果。

项目的具体组织实施过程中,重点考虑如何通过设计恰当的工作任务引入相关理论知识。例如通过“三人表决电路设计”、“简单加法计算电路设计”两项工作任务,引入组合电路设计方法、逻辑函数化简方法等知识点;通过这两个任务,学生容易理解化简后结果尽可能用相同芯片去实现它,因此“与或式”结果不如“与非与非式”,“与或式”就意味着要用与门和或门,再简单的逻辑函数至少要两个芯片,“与非与非式”只用与非门,如果逻辑函数不复杂,一个芯片可解决问题。又如通过“用74160及简单门电路构成八进制计数器(0-7)”和“数字钟中分钟指示电路设计与调试”两个工作任务,引入N进制计数器的构成方法:串接法(即级联法)、复 位法、置数法。

五、教材编写与选择

项目式教学教材选用应该是以主、辅两本教材结合使用的选用原则。为了保证项目教学的顺利实施,应该以自编校本教材为主教材,选择理论知识顺序与校本教材基本一致的规划教材为辅助教材。校本教材在章节顺序上,以项目和工作任务为主线来编排内容顺序,兼顾学生的认知规律,并将知识和能力有机地融入到完成工作任务的具体过程中;在内容编排上,按先基本逻辑电路后逻辑部件、先单元电路后系统电路、先数字电路后脉冲电路的原则编排,实践与理论在内容上相互充实、相互补充,边学边做。

采用两本教材的目的是满足部分自学能力较强学生扩展知识的需要,对一些内部电路的分析、原理的分析,自学能力较强学生可通过自学获得知识,培养学生的自学能力。

六、项目教学实例

以“智力竞赛抢答器的设计”这一项目为例说明项目教学的具体实施过程。这个项目的实施过程包括4个阶段:

第一阶段:任务布置。第一步是教师布置工作任务,讲解必要的相关知识,如原理框图;第二步是分小组讨论,按强弱搭配原则分小组讨论,教师参与学生的讨论,提出要解决的关键问题,即如何实现数码管显示与按键数字相对应的数码,如何实现闭锁功能,学生展开对这两个问题的讨论,教师逐步启发学生,得到解决问题的基本方法。

第二阶段:仿真设计。第一步是利用仿真平台在仿真实验室中进行仿真设计,搭接电路并激活仿真软件,查看所设计的电路能否实现工作任务所要求的技术指标;第二步是教师对学生仿真设计结果进行考核。

第三阶段:电路制作。第一步是学生在面包板上搭接电路,自行排除故障;第二步是分小组进行答辩并考核。

第四阶段:教师总结。可挑选1-2个电路进行演示,并讲解工作原理。

通过本项目的学习,学生不仅掌握了锁存器、编码器、显示译码器的原理,动手能力得到了很大提高,电路制作的速度、排除故障能力明显提高。整个项目教学体现了课程整体设计的理念,应用了计算机仿真、实验室制作教学手段,采用了项目教学式、小组讨论式、启发式等教学方法。

随着数字技术的不断发展,数字电路的教学内容和模式应不断的改革,这就要求数字电路课程组老师在总结经验的基础上大胆创新,做到与时俱进,并在今后的教学过程中还要不断深入研究和探索。

参考文献:

1、李珈.数字电路课程教学改革的实践[J].职业教育研究,2008(6).

2、侯国相.项目教学法在数字电路课程教学中的实践[J].辽宁教育行政学院学报,2008(8).

数字电路设计论文篇4

关键词:计算机专业 课程进度 数字电路与设计

中图分类号:G642.0 文献标识码:C DOI:10.3969/j.issn.1672-8181.2013.15.132

“数字电路与逻辑设计”是电气信息类专业一门重要的专业基础课。该课程是后续专业基础课和专业课的先修课程和基础,是学生开展课外科技创新活动的必备知识,是解决工程实际问题的重要理论和方法,结合目前的实际情况,对数字电路与逻辑设计教学进行改革。

1 数字电路与逻辑设计的本质

数字电路与逻辑设计是计算机科学与技术必修的一门重要课程。该课程中介绍了与数字系统相关的知识,体系等。设置这门课程的重要性在于让学生能够更好地了解数字计算机和其他系统的基本逻辑电路,能够熟练运用课程中所学到的知识并在实际操作中对案例进行分析,客观地提出要求。

通过这门课程的系统学习,可以加强同学的逻辑思维能力,落实到具体工作中,可以解决具体问题,可以对系统硬件进行检测,并有一定的创新能力。数字电路课程教学之所以进行改革是为了提高学生对计算机硬件设施的了解,为日后的学习做铺垫。我们从计算机科学的角度划分,可以把其课程分为:分析电路,数字电路与逻辑设计,微机原理等。从这些课程不难看出,数字电路与逻辑设计起的是承上启下的作用。

2 电子技术的广泛应用加快了数字电路的发展

现阶段,是科技的时代,电子技术已经应用广泛,电子元素是计算机和电路不可缺少的构成元素。国民经济和国防各领域的逐渐渗透,使得数字电子技术在相关专业的地位越来越重要。通过探讨,认为要对以前的教程进行革新,减少理论性过强的内容,着重掌握数字集成电路器的特性与实际运用,将重点放在学生的实际操作上面。

此外要加强创新能力的培养,引导学生们多进行课外实践活动,让学生们把课堂上所学的知识用于实践,这样让学生们在实践中总结理论知识,有利于学生们知识的全面掌握。多媒体技术可以形象并明了地展示复杂的图表,便于老师课堂上的教学,还方便了学生们观看和理解。更重要的一点是,它节约了课堂信息量,增加了课堂上的教学内容。以培养学生创新精神和实践能力为主线,坚持“三个结合”,实现“二个转变”,达到“一个提高”。坚持实践内容与理论知识相结合,创新实验与科学研究相结合,课堂教学与课外实验相结合;实现由基础验证性实验向综合设计性实验转变,由传统型实验向创新型实验转变;达到学生实践能力和创新精神的提高。提高教学的工作环境,利于开展实践教学,从而有利于人才的培养和教学质量的提高。围绕实践这个中心,增加新的教学内容,根据电子信息技术的专业特点,制定科学的实验课程,在内容中多以实验为主,增加教学模板,提高教学方法,总结出一套科学性、系统性的教学体系。

3 数字电路教学的改革方向

由于数字电路与逻辑设计的实践性很强,所以,在实际的教学改革中要做到周全考虑,针对各项内容都要做出调整。还需要注意的是,做到书本上所学的知识配套进行实践。理论结合实际,多结合实际情况进行训练。其内容包括:工具运用能力,绘制电路,电路分析能力,项目综合能力等。

3.1 课程体系的调整

为了更好地适应电子科学技术的发展,要优化课程结构的总体要求出发,进行模块化的设计,使数字电路与逻辑课程内容体系具有系统性,科学性,先进性等。

数字电路与逻辑设计基础从课程内容上被分为两大块。数字电路介绍了数字系统的组成,数字信号的特点等;在内容上先逻辑电路,逻辑部件,先单元电路后系统电路等等。数字电路多以理论为重点,在讲解中多涉及外部逻辑功能。数字电路部分多以运用为主。这样的课程组合可以让学生对数字电路更加了解。

3.2 教学内容的调整

数字电路与逻辑设计的课程很多,为了让学生在有限的实践内把课程学好,要求教师掌握基本理论的同时有效地组织课程教学。在介绍运用时,要根据其不同的侧重点进行分析。实验教学从随堂实验到改革教学后进行独立实验,这其中包括验证性实验等。

通过有效的组织,可以增加学生们的实践操作,调动学生们的积极性,从而有助于知识能力的提高。

3.3 加大实践的内容与次数

数字电路与逻辑设计在教学中需要增加实践内容,这有利于课程的安排,更提高了学生们的动手能力。在实践中发挥良好的教学效果,要合理地拆分实践内容:①基本实验;②设计实验。我们来了解一下这两种实验的概念:基本实验室使用电子仪器的能力;而设计实验则是为了实现逻辑功能,而采用的是数字系统。在设计实验中鼓励学生自拟实验的项目,并将课外活动结合进来,使学生的思维更加广阔。

目前的电子大赛就是为高校的改革服务,它是结合了电子信息的专业内容,这种比赛在教学改革中起到了引导的作用。这十多年来,在全国开展了很多电子计算机的竞赛,这些竞赛对高校体系改革帮助十分明显,它有助于有才能的年轻人展示自己的能力与专业水平。在电子竟赛出题中增大数字电路EDA的内容可以引导高校建设EDA的实验室,例如:SOPC(系统集成芯片)是我国“十一五”制定的重大专项,目前全国已在12个高校中成立了集成电路人才培养基地。

4 结语

现阶段是电子化的时代,科学的进步带动了电子技术的广泛应用。大量的可编程器件被采用,这使得传统的数字逻辑方法明显变化。计算机的应用范围越来越高,使得人们对计算机的认识逐渐深刻,计算机的设计理念开始突破原有的范围。数字电路与逻辑设计在各种现代技术的合力推动之下,得到了明显的提升,可以做到使学生紧跟在市场的前沿。所以,数字电路和逻辑设计的改革加快了这门科学的发展,提高了学生们解决实际问题的能力,给学生们的就业和发展打下了坚实的基础。

参考文献:

[1]李晓辉.数字电路与逻辑设计[J].

[2]曹魏,徐东风.计算机教育[J].

数字电路设计论文篇5

关键词:计数器;Multisim13;数字钟;设计;仿真

引言

数字钟是一种用数字电子技术实现时、分、秒同时显示计时的装置,与机械式时钟相比具有更高的准确性和直观性,并且没有 机械装置,使用起来方便快捷,具有很长的使用寿命,近年来得到广泛使用。数字钟可以是单片的也可以是集成的,其实现方式有很多种,可以用中小规模集成电路组成数字钟;也可以利用专用的数字钟芯片配以显示电路;还可以用单片机来实现,本文的数字钟是采用Multisim13进行设计和仿真的。采用软件仿真的方法,克服了实验室的条件限制,避免了使用中 损坏等不利因素。[1]

Multisim13是美国IN公司开发的一款强大的电路模拟软件,可以进行复杂的板级电路模拟和数字电路仿真,还可以用Multisim来进行数字电路PCB板的设计,此版本还可以单片机等MCU的仿真。全新的Multisim13包括以下优势:

(1)电路参数和参数扫描分析。

(2)结合NI myRIO and Digilent FPGA对象进行数字电路教学。

(3)使用IGBT和MOSFET热模型进行电力电子分析。

(4)包括超^26,000个元件的元器件库。

(5)通过用于LabVIEW系统设计软件的Multisim API工具包实现设计自动化。

1 电路设计与仿真

单元电路设计与仿真:

(1)二十四进制计数器的设计与仿真

二十四进制计数器电路采用两片74160N实现,当个位计数电路计数到9的时候同时向十位发出进一位信号脉冲,当计数到24的时候,个位输出端输出0100,十位输出端输出0010,将个位的输出端QC与十位的输出端QB通过一个与非门同时接到两片计数芯片的清零端,其设计电路和仿真结果分别如图1和图2所示。

(2)六十进制计数器的设计与仿真

六十进制计数器同样采用两片74160N来实现,一片计数秒或分的个位,一片计数秒或分的十位,当秒计数到60时即清零,同时产生进位到分计数电路,分计数电路就加一,和二十四进制计数器采用反馈清零法,使用一个与非门74LS00,它的输入端接到QB和QC,当计数到60时,十位计数的计数芯片的输出端(QA,QB,QC,QD)将输出0110,那么输出端将产生一个低电平,连接到74LS160N的CLR清零端时计数器又从0000开始计数,同时此信号也可以作为分计时电路的输入,其设计电路和仿真结果分别如图3和图4所示。

(3)总体电路设计与仿真,如图2。

2 本设计的优点

其他数字钟电路的设计都需要555定时器产生1KZ脉冲,并需要分频器产生1HZ的脉冲,但有的Multisim版本不能产生1HZ脉冲,并且产生的脉冲不稳定,所以为了避免产生以上问题,本次设计直接采用1HZ的信号源,可以产生比较稳定的1HZ的脉冲,而且设计比较简单,不需要加信号产生电路。

3 结束语

本设计使用了74160N芯片,具有脉冲源稳定、设计简单等优点。该系统主要用在粮仓储运系统中,设备运转情况良好,其测试数据和曲线真实可靠,数据通讯准确、可靠,可以有效预报储粮情况,提高储粮的安全性,进而取得显著的经济和社会效益。[1]

数字电路设计论文篇6

关键词:数字电子技术;教材改革;工程应用

1.引言

《数字电子技术》是高等学校通信工程、电子信息工程、自动化、电气工程及自动化等专业的重要专业基础课程[1]。随着数字电子技术、数字系统的高速发展,以FPGA(FieldPro-grammableGateArray)和CPLD(ComplexProgrammableLogicDevice)为代表的大规模可编程逻辑器件(ProgrammableLogicDevice,PLD)的广泛应用,使传统“板上数字系统”被“片上数字系统”替代[2]。为适应数字电子技术的发展趋势,对传统《数字电子技术》教材内容进行了改革,在教材内容的安排和例题选用上,立足于应用型人才培养,以现代信息技术为依托,注重理论联系实际,取得较好的应用效果。

2.教材改革的基本思路

随着数字电子技术的快速发展,如何处理数字电子技术的经典内容与现代内容、传统分析设计方法与现代分析设计方法之间的关系,是教材内容改革的重点。教材以“基础知识器件原理器件应用器件仿真系统构建系统仿真”为主线,构建数字系统的知识框架。在教材内容组织上,将数字电子技术和数字系统有关知识融为一体,系统介绍数字电子技术与数字系统的基本分析方法和设计方法;在教材内容编写上,以培养学生的应用能力和实践能力为目的,采用案例式或项目式编写思路,将理论知识和实际应用相结合,把突出知识的应用性和实践性作为主要方向,做到理论和实践并重,既强调理论基础,又突出应用性。对于集成电路注重逻辑功能和使用方法介绍,增加EDA(ElectronicDesignAutomation)技术基础知识[3],利用Multisim软件对部分电路进行功能仿真,并介绍VHDL语言、QuartusⅡ软件的基本使用方法,利用VHDL语言设计部分数字电路,利用QuartusⅡ软件进行仿真分析,适应现代电子技术飞速发展和应用的需要。

3.教材的主要特点

3.1教材内容组织

按照教育部高等学校电子信息科学与电气信息类基础课程教学指导委员会对《数字电子技术基础》课程教学的基本要求,对《数字电子技术》教材内容进行重新组织,将教材内容分为十章[4]。第一章介绍逻辑代数的基础知识,主要包括各种数制、常用的编码规则、逻辑代数的基本定理、逻辑函数的表示方法和化简方法等。第二章介绍EDA技术的基础知识,包括Multisim、VHDL语言、QuartusⅡ的基础知识。第三章介绍分立门电路、集成门电路和可编程逻辑器件的特点,并介绍利用VHDL语言设计门电路的方法。第四章首先介绍组合逻辑电路的基础知识,然后讲解组合逻辑电路的应用,最后利用Multi-sim对组合逻辑电路进行功能仿真和设计分析,并介绍组合逻辑电路的VHDL语言设计方法。第五章介绍各种触发器的功能和应用,并利用Multisim对触发器进行功能仿真,介绍触发器的VHDL语言设计方法。第六章介绍时序逻辑电路的分析方法和设计方法,介绍常用时序逻辑电路的功能和应用,并分别利用VHDL语言和Multisim进行功能描述和仿真。第七章介绍脉冲波形的产生与整形电路,重点介绍集成电路的应用。第八章介绍半导体存储器的特点和应用。第九章介绍A/D转换和D/A转换的工作原理和主要技术指标,对集成DAC和ADC的基础知识及应用进行简单介绍,并利用Multisim对基本转换电路进行功能仿真。第十章介绍数字系统设计的基本流程,通过3个实例介绍数字系统的不同设计方法。

3.2强调基础理论

随着数字电子技术的发展,数字电子技术已逐渐渗透到各个行业,《数字电子技术》课程作为高校电类专业的基础课程,是学生走向数字化时代的第一门课程,也是某些高校相关专业的考研课程,其重要性不言而喻。教材编写强调《数字电子技术》基础知识的系统性、完整性,将逻辑代数基础、组合逻辑电路分析与设计、时序逻辑电路的分析与设计等基础知识作为教材核心内容,并结合部分高校相关专业《数字电子技术》研究生考试大纲的要求,增加部分教学内容。例如,在第六章“时序逻辑电路”中增加利用观察法和隐含表法进行状态化简的内容,使学生能够更容易掌握时序逻辑电路的传统设计方法。在教材内容编排上,反复训练基础理论知识,使学生更好地学习并掌握基础理论知识,为进一步学习打下坚实的基础。例如,第四章“组合逻辑电路”首先介绍组合逻辑电路的分析方法和设计方法,然后介绍常用集成组合逻辑电路的原理和应用,其中译码器、数值比较器按照组合逻辑电路的分析方法进行阐述,编码器、数据选择器、加法器按照组合逻辑电路的设计方法阐述,使教材内容循序渐进、深入浅出,适用于学生自学,有利于培养学生自主学习能力。

3.3突出实践应用

在教材编写过程中,注重学生对知识应用能力培养的需要,强调具体操作过程中学习理论基础,将知识应用能力培养贯穿整本教材,突出教材知识的实践应用性。在介绍集成电路时,删除集成电路内部电路的分析,强调集成电路的逻辑功能和使用方法[5],例如,介绍555定时器时,在简单介绍555定时器的电路结构和工作原理的基础上,以“触摸式定时控制开关电路”、“双音门铃电路”等应用电路介绍555定时器的使用方法。在第九章“数/模和模/数转换器”中,以DAC0808、DAC0832、AD7543为例介绍常用集成数/模转换器的工作原理和使用方法,并分别给出DAC0832、AD7543与单片机AT89C51的接口电路,既加强与后续课程单片机、微机原理等的联系[6],又突出教材内容的应用性。

3.4增加EDA技术知识

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,是从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。教材第二章EDA技术基础知识介绍了Multisim和QuartusⅡ两种EDA工具的操作界面和使用方法,并介绍了VHDL语言的基本结构、数据对象、数据结构、操作符和基本语句结构,使学生借助EDA工具进行电路分析和设计。教材给出了74LS138、74LS153、74LS194、74LS160等常用集成电路的Multisim仿真电路和VHDL描述方法,并在第十章“数字系统设计”中,以“计数报警器”、“简易交通灯控制器”、“函数信号发生器”为例,结合Multisim和QuartusⅡ软件,详细介绍简单数字系统的设计过程,丰富教材内容。

4.结语

《数字电子技术》教材改革是一项长期工程,随着数字电子技术的发展,必将对教材内容产生深刻影响。本教材于2012年10月由北京大学出版社作为“21世纪全国本科院校电气信息类创新型应用人才培养规划教材”出版,2013年12月被评为河南省“十二五”普通高等教育规划教材。教材经过3年多的使用,得到了广大师生的关注,收集了各方面建议和意见。为了更好地适应现代数字电子技术的发展和应用,需要对教材内容进行进一步改革。

参考文献:

[1]陆冰,魏芸,闾燕,等.“数字电子技术”课程教学改革的实践[J].电气电子教学学,2013,35(4):46-47.

[2]宁改娣,杜亚利.教材:《数字电子技术》教材改革探索[J].教育教学论坛,2012(8):98-99.

[3]黎艺华,谢兰清.高职数字电子技术项目课程教材建设探索[J].教育与职业,2011(15):131-132.

[4]秦长海,张天鹏,翟亚芳.数字电子技术[M].北京大学出版社,2012.

[5]王国新,张桂凤,宋婀娜.“数字电子技术”课程教学改革探究[J].中国电力教育,2014(12):73-74.

数字电路设计论文篇7

关键词: 《数字电子技术》 课程改革与实践 理实一体化教学方法

我院积极响应教育部关于课程改革与创新的号召,从教学实际出发,广泛采用工学结合、项目化教学选择课程教学内容,重在培养学生实践能力。采用行动导向的教学方法,重视过程考核,强调基于工作过程,通过课程改革与实践培养了一批机电一体化专业电子技术应用能力较强的学生,教学质量明显提高。

一、课程定位与设计

1.课程的性质

《数字电子技术》课程是机电一体化技术专业的一门专业核心基础课。该课程以数字电子电路的分析、设计与制作为基础,培养学生认识电子元器件和电子线路图,分析和制作典型数字电子电路的能力,是后续课程“单片机应用”、“电子线路CAD Protel”、“机电产品维修技术”等专业课程的基础。

2.课程的作用

通过本课程学习,培养学生的数字电子技术的分析、设计、应用与制作能力,为后续课程的学习打下坚实的理论基础和实践基础,支撑电子设计竞赛及毕业设计等课程教学任务的完成。

3.课程的特点

《数字电子技术》与《模拟电子技术》相比较:(1)同时具有算术运算和逻辑运算功能。(2)电路实现简单,主要以布尔代数作为基础的数字逻辑电路。(3)集成度高,功能实现容易。

4.课程的教学特点

《数字电子技术》是有一定难度的课程,需要理论知识的支撑和实践能力的积累。数字电子技术更新快,在教学内容载体的选择上十分重要。采用理实一体化项目课程模式,使理论与实践有机结合,重在各种数字集成电路芯片的应用。

二、课程改革思路

教学重心由以往重视传授知识向注重培养学生的数字电路综合能力方向转变,遵循“理论够用,重在实践”的原则,并在教学过程中不断深入研究和探索。

1.采用“教、学、做”的理实一体化教学方法,即让学生在制作、调试、测试若干个典型电子电路中学习理论知识,从而使理论与实践交替出现,抽象与形象交叉产生,提高高职生的学习兴趣,增强学习效果。

2.理实一体教材建设,为深化课程的理论与实践一体改革,首先制定理论与实践一体的课程标准,再确定理论与实践一体化教学设计方案,最后编写适应用学生的理实一体教材。

3.现代教育教学技术的使用,利用网络实施教学已成为数字电路教学改革的内在需要,建立课程网站,充分发挥现代教育技术的优势,很好地激发了学生的学习兴趣和主观能动性。

4.加强实训环节,培养学生分析、设计、组装和调试数字电路的基本技能。

5.改革教学方法和教学手段,全面推进讨论式、互动式、案例教学和学习研究式、行动导向式等教学方法,培养学习自主学习和创新能力,有效提高课堂教学质量。

三、课程改革与创新

1.教学内容的改革

《数字电子技术》课程教学内容的改革关键是选择合适的项目,由浅入深进行教学,我们设计6个项目。

项目一:组合逻辑电路的设计与制作

任务模块:(1)简单抢答器制作;(2)产器质量检测仪设计与制作;(3)基于CC4028的“楼梯照明灯控制器”设计与制作,基于CC4040的“霓虹灯控制”的设计。

项目二:时序逻辑电路设计与制作

任务模块:(1)由触发器构成的抢答器制作;(2)多功能数字钟的设计与制作。

项目三:555定时器应用与制作

任务模块:光控节能开关的设计与制作。

项目四:A/D、D/A转换及应用

任务模块:(1)数字电压表设计与制作;(2)锯齿波发生器设计与制作。

项目五:半导体存储器、可编程逻辑器件应用与制作

任务模块:可编程时间顺序控制器的设计与制作。

项目六:综合电路设计与制作

任务模块:(1)“数字频率计”设计与制作;(2)“自动洗衣机控制器”设计与制作。

2.教学方式

(1)遵循学生能力培养的基本规律

我们将数字电路分成六个项目,有四个难度等级,从而做到由浅入深,由简单到复杂,遵循学生能力培养的基本规律。

(2)采用灵活多样的教学方法

本课程采用多种教学方法:讲授法、操作示范法、头脑风暴法、网络视频教学法、行动导向教学法。

(3)实践环节改革

实践环节改革的思路是强调基于工作过程,数字电路制作与调试的工作过程:数字电路的解剖、分析、制作、调试、查阅资料、试制等实践操作。使学生会解剖数字电子电路,掌握各典型单元电路的分析方法,根据电路制作和调试数字电路。

本课程改革后,学习的内容是工作,通过工作实现学习,学生实践操作过程就是将来的工作过程,提高了学生的综合职业能力。

(4)考核方式的改革

通过改革考核方式,改变了传统的平时成绩(20%)+实验成绩(20%)+期末考试成绩(60%)的考核方式,将考核贯穿到学习的全过程,实现从“重结果”向“重过程”的转变。把成绩分配到每一个项目中,期末再增加一个理实一体化的综合考试。平时的实践考核成绩由教师评价、学生自评和互评三部分组成,学生自评和互评是对教师评价的一个参考和补充。为了方便过程性考核的具体实施,我们已经制定每一个项目的评价标准。通过过程性考核,学生在每一个项目中都能掌握相应的知识和技能,保证了学习的质量。

四、结语

本课程采用项目式教学,在教学过程中体现了学生的主体作用,充分调动了学生学习的积极性与主动性。不仅加深了学生对理论知识的理解,更重要的是提高了综合职业能力和创新能力,从而达到了高职高专培养高技能应用型人才的目的。

参考文献:

[1]梅开乡,朱海洋,梅军进.数字电子技术.北京:电子工业出版社,2011.

数字电路设计论文篇8

关键词:课程设计;彩灯循环显示电路;学生学习

数字电子技术是我校电子信息工程专业的基础课,其目标是培养学生具备一定的电子技术理论基础、一定的创新意识、一定的解决实际问题的能力,促使学生了解本专业的应用发展方向,并为学习后续课程和从事电子信息工程工作打下一定的基础。

福建江夏学院是2010年由福建省政府组建的一所旨在培养复合性创新陛应用型各类高素质专门人才的应用型本科大学。数字电子技术课程应满足学校、学科建设的高要求,充分地激发学生对于这门课程的学习兴趣,提高学生学习的主动性和积极性。

课程设计作为学生学习的一个重要的实践环节,要求学生自己设计和搭建一个实用电子产品雏形。常言说得好,“说一百遍,不如做一遍”,学生通过思考、查阅资料、讨论等方式寻求解决问题的方案,对相关知识点有更加深入的理解,提升学生的成就感,增强自信心,提高学习主动性,从而形成良性循环。本文以“彩灯循环显示电路”中“数字电子技术”的课程设计为例,从几个方面浅析了课程设计对于激发学生学习热情、促进学生学习所起到的良性作用。下面,笔者将从设计的相关环节来具体阐述。

一、分析设计任务和性能指标

本课程设计的题目是“彩灯循环显示电路”,学生在拿到设计题目后,首先要根据相关材料分析设计任务,掌握相关原理图,解析其基本构造和功能,将总电路原理图拆分成以下三个单元电路:矩形方波产生的频率、10个彩灯的循环点亮和七段数码管上显示彩灯的循环次数。

学生要做到对设计功能的完美解析、原理图清楚识读,要掌握“数字电子技术”课程中所学的相关基本理论和基本方法,清楚所涉及的各个芯片的功能、参数,及其涉及典型功能模块的搭建,进而要了解单元电路功能模块的构建,了解单元电路中各个部件元件的作用,清楚整体设计所要达到的性能指标,乃至有效扩展至一些特定微型数字系统。

在这部分,学生既要巩固课堂理论知识,又要加强对实际电路的认识、解析能力。

二、元器件的选择

对课程设计题目完美解析之后,接下来就涉及合适的元器件的选取。在搭建单元电路时,对于特定功能单元需要选择主要的集成块。比如时钟电路选555,计数/译码器4017,计数器4518,译码4511及显示驱动电路也都相对固定,但存在电路特定功能要求不同,需要适当元件参数匹配集成块,例如,10个彩灯循环点亮的完美显现,对方波频率的选择就有所要求,学生在利用555触发器构成脉冲方波时,必须考虑到影响时间常数的RC元件参数的选择。

同样构建单元电路时,选择器件的电平标准和电流特性等参数很重要。普通的门电路、时序逻辑电路、组合逻辑电路、脉冲产生电路、数模和模数转换电路、采样和存储电路等,参数选择恰当可以发挥其性能并节约设计成本。

在这部分,学生在进行元件的选择的同时,不仅要掌握所用仪器设备的使用介绍,了解实验过程中的注意事项,还要掌握从电路的整体布局到一个个小的元件的清楚认识。

三、设计总体电路图

任何一种逻辑功能都可以设计出一种相应的逻辑电路。根据需要设计出符合要求的逻辑电路,合适的计算机辅助软件给我们的电路设计提供了极大的便利。

1.仿真软件

该设计要求学生掌握两种仿真软件Multisium和Proteus,并结合所给的设计题目,绘制电路原理图,进行模拟仿真测试。学生在操作过程中掌握以下三个方面知识点:

(1)熟悉Multisium和Proteus软件操作界面,了解选取元器件时所需要注意的一些注意事项。

(2)调整电路图中元器件布局,调试电路板,故障排查。数字电路设计以逻辑关系为主体,因此各单元电路的输入输出逻辑关系与它们之间的正确传递决定了设计内容的成败,结合整体实现功能,要求每一个单元电路都须经过调整,确保各单元之间满足驱动电平匹配和电流匹配,合理布局。

仿真是整个设计成功关键的一步,学生做好仿真电路的连接,之后的焊接电路就会显得相对轻松、驾轻就熟。电路仿真软件为学生之后从事电路设计、模拟仿真等打下基础。

对于仿真软件,老师只是起到简单领进门的作用,需要学生自身去拓展学习,这里有个需要重视的地方,相较于私下自学,集中学习、互帮互助成效非常明显。

2.电路原理图印制板设计功能

课程设计要求学生掌握Protel 99SE软件的原理图设计、PCB设计、自动布线器这几个功能,学生通过该软件的学习,可以强化计算机模拟和具体元器件的封装工艺,统筹各个单元电路的布局。

学生弄好印制板,在其上焊接电路,这在很大程度上接近实际的电路板的制作工艺,学生在此可以得到理论和实际明显的结合,贴近工艺、贴近工厂,提高了兴趣,极大调动了学生的主动性。

四、焊接、搭建电子产品雏形

完成了前面三步之后,学生就进入电子产品的搭建环节,而要进行完美的电路搭建,首先要求学生掌握一些基本的知识、动手能力:了解万用表、示波器、稳压电源等常用电工电子仪器、仪表的使用;熟悉电阻、电容、电感、二极管、三极管、变压器、集成电路等的外形结构;熟悉机械开关、继电器、接插件、熔断器、电声器件的外形和标志方法;熟悉各种常用线材的外形与结构;电烙铁的使用方法和使用技巧、焊接注意事项等。

学生有了如上所示的准备就可以开始在万用板上焊接电路,按照前面电路图仿真,将电路图复制到万用板上,而这也是本设计最锻炼学生的时候,不仅要具备过硬的焊接技术,还需具有较强解决实际问题的能力,前者直接影响了最后电子产品的工艺水平、美观程度,而后者则会关系到焊接的电子产品能否实现我们所要的设计结果。

五、书写综合设计实验报告

学生在完成全部仿真、电路模型搭建之后所作的综合书面报告,是学生对整个课题设计的思考和总结,是对课题设计的再认识、知识升华的过程。

数字电路设计论文篇9

关键词 数字电路 教学理念 教学方法 创新教学

中图分类号:G424 文献标识码:A

Take Teaching Philosophy and Teaching Methods as the Starting Point to Promote Innovative Teaching of Digital Circuit Courses

BAI Xuemei, LIU Shuchang

(Electronics Experiment Teaching Center, Changchun University of Science and Technology, Changchun, Jilin 130022)

Abstract Digital circuit course is an important professional basic course of electrical specialty. In recent years, in order to highlight the basic digital circuit courses, engineering and advanced, has been engaged in various attempts of reform and innovation. But the premise of every innovative teaching should be based on teaching philosophy and teaching methods in-depth innovation, under the guidance of the right teaching philosophy, in the practice of appropriate teaching methods, we can promote innovative teaching of digital circuit courses.

Key words digital circuit; teaching philosophy; teaching methods; innovative teaching

0 引言

数字电路课程作为电气信息类专业重要的专业基础课之一,围绕我校的人才培养目标,以突出其基础性、工程性和先进性的课程目标,进行课程建设和创新教学改革。通过数字电路课程的学习,使学生在掌握数字电路与逻辑设计的基本理论和方法的基础上,能运用先进的EDA 工具,结合工程应用,进行数字电路和数字逻辑的分析与设计。数字电路课程开设在第四个学期,与先行课程电路分析、电子线路紧密结合展开拓展,并为后续课程微机原理等课程打下了坚实的基础。其课程培养目标是使学生掌握数字逻辑电路分析和设计的基本原理和基本方法;使学生能灵活运用所学原理和方法,自顶向下和/或自下向上地分析和设计数字逻辑系统;通过科学而系统的实验训练,培养学生逻辑思维能力,分析和解决问题的能力,培养学生知识自我更新和不断创新的能力。围绕着这一教学目标,课程的设计和教学实现应当以先进的教学理念和科学的教学方法为出发点,才能实现教学的创新性。

1 数字电路课程中先进的教学理念

教学理念是人们对教学和学习活动内在规律的认识的集中体现,同时也是人们对教学活动的看法和持有的基本的态度和观念,是人们从事教学活动的信念。现代教学理念注重以学生、作业、活动为中心,以学为主,教师在教学过程中起辅助和引导的作用,学生拥有主动权。教师主要工作是设定情境,让学生产生兴趣,发现问题,并在教师引导下,探索研究问题,找出解决方法并进行验证的一系列过程。①

1.1 教学设计

数字电路课程包括理论教学和实验教学。理论教学48学时,实验教学16学时。同时,还有与课程相应的实践环节——数字电路课程设计(1周)。在课程教学过程中,从逻辑代数基础出发,以组合逻辑电路、时序逻辑电路以及模数接口电路为重点,以逻辑电路的分析、设计和应用为最终目标,培养学生对数字系统的分析和设计能力。

1.2 教学内容整合

根据数字电路课程体系和目标要求,将课程划分为若干个教学阶段模块,对各阶段模块进行教学目标设计;在教学过程中做到点与面的结合、深入浅出,既掌握电路的细节内容又能充分把握各章节的知识体系。同时,把分章教学、阶段教学和项目教学相结合,在教学中在充分体现各章节间统一性的基础上,着重阐述各自的特殊性,强调工程观点和整体设计概念,注重工程问题的处理方法,培养学生的综合能力,加深学生对课程的理解。在布局好本门课程的同时,还要重视与相关学科内容的衔接,不断深入研究和探索,及时调整教学内容,使本课程在教学中尽可能体现知识点与其他课程的关系,为后续课程打下基础 。

在教学过程中注重对学生能力的培养,讲授给学生的不仅仅是知识点,更是培养学生知识获取能力和知识应用能力。例如,在讲到时序逻辑电路分析和设计时,无论是计数电路、序列信号产生电路、序列信号检测电路,重点强调“状态”的概念,进而引入一些应用实例,如彩灯设计电路、自动售货机等,从一个整体的类别去讲解,将课程讲授提高到一个新的高度。而学生所收获的不再是一个个孤立的电路和概念,而是一个整体的、有机结合的知识体系。学生会对数字电路课程的兴趣剧增,对整体的设计方法有一个飞跃的认识和提高。通过课程内容的合理安排和整合,让学生掌握科学的学习方法和设计数字电路的能力。更有意义的是,还可以提高学生的专业兴趣。由传统的学习转化为创新性的学习,让学生的思考能力和学习模式发生根本性的改变。

2 在数字电路教学中引入合适的教学方法

(1)采用多种教学方法,激发了学生的积极性和主动性。在理论教学中采用以老师讲授为主,兼用启发式、互动式和讨论式等教学方法,体现老师的主导作用与学生的主体作用。本课程注重培养学生逻辑抽象思维能力,并且逻辑设计的方法十分灵活,教师授课要注重启发式教学,给学生思考的空间,使之能够由此及彼,举一反三。同时,在教学中强调采用互动式教学,克服学生被动学习的局面。课堂上不仅仅是教师提问学生,同时鼓励学生随时向教师提问。并适当地组织讨论,让学生提出自己的思想和方法,由被动学习变为主动学习,激发同学们学习的潜能,培养了学生的兴趣与学习的能力。同时,合理利用网络教学资源,扩大学生的学习空间。

(2)注重理论教学与实践教学相结合,培养了学生的综合实践能力。数字电路与逻辑设计是一门实践性很强的课程,理论与实践的结合十分紧密。教师不仅要具有扎实的专业理论功底,也要具有较熟练的实践技能。要求教师对本门课程的理论和实践相结合的教材分析及过程组合的能力。②因此,在教学过程中,应该始终坚持理论与实践的统一,二者相互促进。一方面在学时安排上,理论课与实验课衔接,实验内容与教学内容互相渗透与加深,另一方面采用分层次教学,即采用验证型、设计型及综合型三层次教学,尤其是一些综合开发实验,不仅延伸了教学内容,而且对理论知识进行综合应用。同时,本课程既要掌握灵活的思维方法和系统的理论知识,又要强调工程实施能力的训练,让学生了解理论设计方案与工程实施之间的距离,训练学生严谨、务实的作风。

(3)课程中贯穿EDA软件的应用,培养了学生的实践能力。在课程中注重引入新器件、新技术、新方法,在课程中贯穿EDA软件的应用,要求学生以自学和实验为主掌握EDA软件的使用方法。在综合实验和系统实验中,要求利用EDA软件进行分析、设计、仿真,然后再具体实现,使学生学会电子电路先进的科学的设计方法,培养学生自己解决问题的能力和创新意识。培养学生完整数字电路系统的设计和实现方法。自顶向下,设定好各个部分的功能要求,将学过的电路模块自行组合,先在EDA仿真中软件实现,然后下载到硬件电路中。也可以到硬件实验室进行纯硬件电路的搭建,完成最终测试。

3 小结

数字电路课程创新教学的推进,依靠各个方面的配合,也需要从各个角度去理解,但是只有从根本上解决教学理念和教学方法的革新,才能从真正意义上去推进数字电路课程的创新教学。

注释

数字电路设计论文篇10

关键词 multisim 教学改革 数字电子技术

中图分类号:G420 TP710 文献标识码:A

0引言

数字电子技术是理工科专业中一门核心的专业基础课,与高等数学、模拟电子技术和电路分析基础等理论课程联系紧密,且研究问题抽象难懂。该课程实践性强,在电子类实践课程中应用广泛,数字电子技术课程的教学质量直接影响着后续课程的学习。因此,研究数字电子技术教学改革是非常必要的。

传统的数字电子技术教学,主要是先进行理论教学,再通过实验教学让学生更好的理解和掌握理论知识,锻炼学生的动手实践能力。然而,在进行理论学习时,由于教学内容抽象难懂,学生不容易掌握,且不能通过实验现场演示帮助学生通过直观观察辅助理解抽象的理论知识。因此,基于传统的教学缺点,今将multisim软件引入数字电子技术课程教学中,进行教学改革,在多媒体理论教学中,通过实时的multisim软件现场教学仿真演示,学生直接的观察和分析学习抽象的理论知识,有助于学生对理论知识的理解和掌握。

1 multisim软件在数字电子技术课程教学改革中的应用优点

1.1 有效的解决传统教学中存在的问题

在理论教学中引入multisim软件,打破了传统直接理论分析,能够在课程教学过程中实时演示。在各类逻辑电路的应用中,传统的教学知识通过电路图的理论跟分析,让学生掌握逻辑电路的应用,然而由于问题抽象,学生不容易理解和掌握,通过multisim软件进行仿真现场演示,帮助学生理解和掌握,效果良好。

图1 74LS160构成六进制计数器

以时序逻辑电路中的计数器为例,集成十进制同步计数器74LS160和74LS162应用广泛,因此,74LS160和74LS162的应用是重点内容,传统教学是给出应用电路图(如图1所示为利用74LS160构成六进制计数器),理论分析其输入输出。在教学中引入multisim软件进行现场演示,生动直观,学生容易理解掌握。

1.2实验教学中的应用优点

在实验教学中,由于实验条件的限制,传统实验大部分是验证性实验,综合性和设计性的实验较少,在实验教学中,验证性实验主要是利用实验箱,操作简单,不利于学生创新能力和动手能力的培养,引入multisim软件后,学生可以通过软件中丰富的虚拟仪器和元器件设计综合性和创新性实验,培养提高学生的创新能力。

1.3学生自学能力培养中的应用优点

由于知识的更新换代非常快,在当代大学中,培养学生的自学能力是非常重要的,因此,学生的自学设计能力的培养也是大学的重要任务,既有利于学生对知识的巩固和应用,又促进学生创新能力的培养。尤其对于民办高校来说,应用型人才培养是重中之重,引入multisim软件后,学生在课后根据自兴趣和爱好设计仿真自己感兴趣的小制作,培养提高学生的创新和动手能力。仿真软件中有比较全面的模拟仪器和元器件,学生可以在节约支出的情况下,进行电子设计,为参加全国电子设计大赛打下坚实的基础。

2结论

如何提高数字电子技术的教学效果是教学中的重点,在数字电子技术教学过程中引入multisim软件,既有利理论学习的掌握,又培养提高了学生的创新能力和动手能力,为后续的专业课程打下了坚实的基础。

参考文献

[1] 江晓安,董秀峰,杨颂华.数字电子技术[M].西安:西安电子科技大学出版社, 2008:138-180.

[2] 杨志忠,卫桦林.数字电子技术[M].北京:高等教育出版社,2008:222-226.