电子自动化技术发展及数字电子中运用

时间:2022-03-27 04:12:00

电子自动化技术发展及数字电子中运用

EDA(ElectronicsDesignAutomation)即电子设计自动化技术,是一种以计算机为工作平台,利用电子技术、计算机技术、智能化技术等多种应用学科的最新成果,开发成的一整套电子CAD软件,是一种用于电子元件产品和系统设计的综合技术。主要能帮助电子工程师从事三方面的设计工作,即IC设计、电子电路设计和PCB设计。

1EDA技术的基本特征及发展趋势

EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。具体而言,设计人员采用“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,然后采用VHDL、Verilog-HDL、ABEL等硬件描述语言对高层次和系统行为进行设计,并通过逻辑综合优化工具生成目标文件,最后系统的电路由CPLD、FPGA或ASIC(专用集成电路)来实现。EDA技术的发展至今已有30年的历程,其大致可分为三个阶段。20世纪70年代为计算机辅助设计(CAD)阶段,人们用计算机辅助进行电路原理图编辑、PCB布局布线,这极大的促进了当时中小规模集成电路的开发和应用,使人们得以从繁杂的机械图的版图设计工作中解脱出来,这是第一代EDA技术。80年代,出现了以计算机仿真和自动布线为核心技术的第二代EDA技术,即计算机辅助工程阶段(CAE),其主要功能:原理图输入、逻辑仿真、电路分析、自动布局布线、PCB后分析,称之为“电路级设计”。90年代后,出现了以高级语言描述、系统级仿真和综合技术为特征的第三代EDA技术。它采用的是一种“自顶向下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统和行为进行描述,在系统一级进行验证,然后用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以用ASIC来完成。由于设计的主要仿真和调试过程是在高层次上完成的,也就有利于早期发现结构设计上的错误,避免了设计工作的浪费,极大地提高了系统设计效率,缩短了产品的研发周期。

2EDA技术的基本设计思路

2.1EDA技术的电路级设计

电路级设计工作的流程图如图1所示。设计人员首先确定设计方案,并选择能实现该方案的合适元器件,然后根据元器件设计电路原理图,接着进行第一次仿真,其中包括数字电路的逻辑模拟、故障分析等,其作用是在元件模型库的支持下检验设计方案在功能方面的正确性。仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。在制作PCB之前,还可以进行PCB后分析,并将分析结果反馈回电路图,进行第二次仿真,称之为后仿真。其作用是检验PCB板在实际工作环境中的可行性。综上所述,EDA技术的电路级设计可以使设计人员在实际的电子系统产生以前,就“已经”全面了解系统的功能特性和物理特性,从而将开发风险消灭在设计阶段,缩短开发时间,降低开发成本。

2.2EDA技术的系统级设计

随着技术的进步,电子产品的更新换代日新月异,产品的复杂程度得到了大幅增加,以前鉴于电路级设计的EDA技术已不能适应新的形势,必须有一种高层次的设计方法,即“系统级设计”。其设计流程图如图2所示。基于系统级的EDA设计方法其主要思路是采用“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生产周期的诸多方面,包括质量成本、开发周期等因素。第一步从系统方案设计入手,在顶层进行系统功能划分和结构设计,第二步用VHDL、Verilog-HDL等硬件描述语言对高层次的系统行为进行描述;第三步通过编译器形成标准的VHDL文件,并在系统级验证系统功能的设计正确性;第四步用逻辑综合优化工具生成具体的门级逻辑电路的网络表,这是将高层次的描述转化为硬件电路的关键;第五步将利用产生的网络表进行适配前的时序仿真;最后系统的物理实现级,它可以是CPLD、FPGA或ASIC。

3EDA技术在现代数字电子系统设计中的应用

3.1设计要求

设计一个四位二进制同步计数器。同步计数器是指在时钟脉冲(CP)的控制下,构成计数器的各触发器状态能够同时发生变化。该计数器带异步复位,计数允许,四位二进制同步计数器电路,如图3所示,其真值表如表1。

3.2用VHDL(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage)来设计

其设计代码如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYcountAISPORT(cp,clr,en:INSTD_LOGIC;Qa,,qb,qc,qd:OUTSTD_LOGIC);ENDcountAARCHITECTUREcountAOFcountAISSIGNALcount_4:STD_LOGIC_VETOR(3DOWNTO0);BEGINQa<=count_4(0);Qb<=count_4(1);Qc<=count_4(2);Qd<=count_4(3);PROCESS(cp,clr)BEGINIF(clr=1)THENCount_4<=“0000”;ELSEIF(CP‘EVENTANDCP=1)THENIF(en=1)THENIF(count_4=“1011”)THENcount_4=“0000”;ELSEcount_4=count__4+1;ENDIF;ENDIF;ENDIF;ENDPROCESS;ENDexample;

3.3系统功能仿真

即验证系统设计模块的逻辑功能。设计人员可以利用EDA工具,运用测试平台的方法来进行验证。测试平台可以实现自动地对被测试单元输入信号测试矢量,并且通过波形输出,文件记录输出或与测试平台中的设定输出矢量相比较,验证仿真结果。本系统输入CP,CLR,EN三个信号,可以得到其输出波形。经验证,系统逻辑功能正确。(注:一般较简单的系统也可忽略这一步)。

3.4逻辑综合与优化

所谓逻辑综合,即是将较高抽象层次的描述自动地转换到较低抽象层次描述的一种方法,目前的EDA工具提供了良好的逻辑综合与优化功能。它利用综合器对VHDL源代码进行综合,优化处理,并将设计人员设计的逻辑电路图自动转化为门级电路,并生成相应的网络表文件。一般的逻辑综合过程如图4所示。

3.5系统时序仿真

即验证系统设计模块的时序关系。本系统在输入CP、EN、CLR三个信号下,可以输出时序波形图。从时序波形图可知,系统的延迟时间符合设计要求。(时序图略)3.6编程下载经过以上几个设计步骤以后,设计人员在确定设计系统基本成功以后,即可通过编程器或下载电缆下载数据流进行硬件验证。最后物理实现级通过ASIC形式实现。

4结论

随着科技的进步,电子产品的更新可谓日新月异,EDA技术是电子产品开发研制的动力源和加速器,也是现代电子设计的核心,特别是进入21世纪,伴随CPLD,FPGA等ISP逻辑器件的广泛应用,VHDL、Verilog—HDL等硬件描述语言的普及,ASIC技术的不断完善,EDA技术在现代数字电子系统中的应用越来越重要。目前,EDA技术在我国尚未普及,掌握和普及EDA技术对于更新传统的电子设计方法和加入WTO以后我国电子工业迎接世界的竞争和挑战,都将起到积极的作用。