EDA技术在电子设计的运用

时间:2022-06-29 03:23:37

EDA技术在电子设计的运用

摘要:文章主要对EDA技术在现代电子设计中的运用进行了分析和探讨。

关键词:EDA技术;电子设计;可编程逻辑器件;计算机技术;IC版图编辑

1EDA技概述

1.1概念分析。电子设计自动化,即“EDA”,其是现代化电子技术发展的必然趋势,在仿真模拟、电子技术等领域中发挥着关键性的作用。在电子设计技术中,通过可编程逻辑器件的实践应用,可大幅度提升设计工作的灵活性,同时还可对硬件的灵活性能进行改善。随着该器件应用方式、原理的不断升级,以往的数字化系统设计方式已远远无法满足实际的发展需求,故均进行了转变和更新,在此情况下,也推动了现代化技术的创新。1.2EDA技术发展。计算机辅助设计时期:在这一阶段对于EDA技术的应用,主要是体现在CAD技术方面,人们逐渐开始借助计算机辅助完成PCB布局、IC版图编辑等工作,以往的手工作工作开始逐渐被取代。计算机辅助工程时期:在计算机辅助设计的基础上,又融入很多新的应用功能,不仅包括图形绘制功能,同时也包括结构设计和电路功能设计。计算机辅助工程图如输入原理图、电路分析、自动化布局、仿真逻辑等等,如此也对设计功能进行了丰富。自动化电子系统设计时期:在这一时期实现了自动化电子设计的目标,并具备了系统识别仿真和高级语言描述的功能,最大限度的提升了设计工作效率。现代化的EDA技术:该技术在具体应用的过程中,将计算机作为主要工具,并以EDA平台为基础,借助硬件描述语言,对文件进行设计,同时还可自动化的进行布局布线和逻辑仿真,实现了适配编译、芯片逻辑映射等操作[1]。

2EDA技术在现代电子设计中的应用价值

目前,EDA技术应用十分广泛,其在电子设计领域中的实践应用,发挥着关键性的作用。现代电子设计的编程方式一般以在线编程和无线编程为主,而EDA技术不仅能够满足设计工作的实际需求,同时还可真正实现无障碍编程。实践过程中,具有良好的保密性和可靠性,可从根本上解决复位障碍问题。在压缩和集成功能的应用环境下,能够对产品系统中的芯片进行有效集成,以此为后续的管理工作提供便利条件,更加有助于风险防控工作的顺利开展,保证了电子设计的可靠性。另外,EDA技术在电子设计中的应用效率也比较高,其可实现多种任务的同步运行。在多模块功能的应用环境中,可提升设计水平,加快设计进度,以此推动电子设计工作朝着市场化、信息化的方向发展。

3EDA技术在电子设计中的应用内容

3.1自上而下的设计方式。所谓“自上而下”即从系统的顶端开始设计,对顶层结构进行制定并对功能方框图进行划分。在方框图一级进行纠错和仿真,通过相应的描述语言,开始描述高层次系统行为,并进行验证。借助综合优化工具获取电路网表,其中的内容包括专用集成电路或印刷电路板。设计中的调试、仿真等过程均在高层次完成,如此可及时的发展结构设计中存在的缺陷问题,提升工作效率,减少工作量。3.2硬件描述语言。其属于一种计算机语言,常见于电子系统硬件设计,通过相关的软件编程描述,对系统的内容进行描述,具体如逻辑功能、电路结合、连接形式等等。在很多大型的系统设计过程中,硬件描述语言的应用十分广泛。电路硬件描述语言最早产生于美国,主要是为了解决产品的不兼容问题,另外,还可将其应用于多层次设计工作中。IEEE便是借助了该描述语言,对相关的功能进行了描述。IEEE包括很多个设计层次,如寄存器传输、系统行为级、逻辑门级等,同时还支持各种形式的混合描述[2]。3.3ASIC设计。在电子集成电路中经常会存在各种各样的问题,如体积过大、功耗较大、可靠性低等等。基于上述情况,可将ASIC引入其中。现代化的电子产品市场需求逐渐呈现出多样化特征,ASIC可被划分为可编程、半定制以及全定制等多种类型。如全定制ASIC在具体应用的过程中,工作人员首先需界定出全面晶体管的工艺规则和几何图形,之后将设计成果传输给生产商进行制造,如此可有效提升ASIC芯片的理想化性能,从而有效达到低耗能、高效率等目标。

4EDA技术在电子设计中的应用

4.1在通讯中的应用。EDA技术在实践应用的过程中,主要是通过电路仿真工具进行仿真、电路设计等工作;通过虚拟设备对产品进行调试;通过FPGA对器件进行开发。在CDMA通信系统中,移动手机和无线基站通常会在统一的频谱下运行,为了能够明确的区分各种呼叫,移动手机一般均有特定的码序列,基站只有精准的判断出码序列,才能分别出各种传呼进程。FPGA能够提供滤波器设计,适用性较强,同时也具备数据分析和处理的能力,因此,FPGA在现代化通讯领域中应用十分广泛。4.2在医学生物工程中的应用。在电子设计领域中,不管是系统还是芯片设计,通过EDA技术的辅助应用,均可大幅度提升工作效率和质量。近年来,该技术逐渐开始在医学生物工程中进行应用,可对人体的心率、血压等进行精准检测,通过相关设计可对各种医学图像和生理信号滤波进行检测,具有较高的临床应用价值。4.3在产品生产、设计中的应用。在信号数字处理器、电子电路、微处理器、电视机中,EDA技术不仅能够在产品调试和计算机仿真模拟环节中进行应用,同时,还可将其应用于设备的制造、研发等环节中,且发挥着无可替代的作用。从某种程度上来看,EDA技术已成为了电子工业发展过程中的重要组成部分[3]。4.4EDA技术的设计流程。EDA属于一种系统化的技术类型,同时也是高层级的电子设计手段,实践中主要是以概念驱动为基础,确保了工作人员无需在设计中使用门级原理图。在对设计目标确定之后,可通过EDA技术进行电路描述,如此既可避免西决的影响,同时还可大幅度提升设计水平和创造性。通过EDA系统,设计人员在将高层次描述和概念等输入到计算机之后,可结合相关的系统规则设计电子产品。EDA技术的应用流程,一般可包括划分系统——输入VHDL或图形——代码功能仿真——时序仿真——实现ASIC等等。

5结束语

EDA技术是信息化时代下的重要产物,目前,其在社会发展的各个领域中应用十分广泛,同时,还在电子设计领域中发挥着关键性的作用。随着EDA技术应用的日趋完善,其也将进一步引领着电子设计领域和电子产业的技术变革,不断提高设计水平和效率。

参考文献

[1]李亚平,王亮亮.EDA技术及其在现代电子系统设计中的应用[J].山东师范大学学报:自然科学版,2017,223:124-125.

[2]余华,岳秋琴.电子设计自动化技术的发展及在现代数字电子系统设计中的应用[J].现代电子技术,2015,447:23-25.

作者:肖啸宇 单位:成都信息工程大学